找回密码
 加入计匠网
搜索
热搜: BIOS ACPI CPU Windows
查看: 51829|回复: 39

戏说BIOS

[复制链接]
发表于 2009-7-6 16:05:24 | 显示全部楼层 |阅读模式
戏说BIOSHello BIOS
" |  x! x( V8 s2 |' A* F1 k) i

3 }6 k# G( H# H$ x% R: `; `  n/ C4 T! I5 F4 s0 B, p
我所知道的EC”系列基本结束了,现在我终于可以有空玩一玩BIOS了。可是需要特别强调的是我是一名EC而不是BIOS,所以我看BIOS的视角可能会不够专业,也不够正统,这也是为什么我将这个系列取名为戏说BIOS”的原因。可能有些朋友会觉得我不务正业,身为EC却去玩什么BIOS(呵呵我本来就是不务正业J),我觉得PC本身是一个非常复杂的系统,从经验来看很多问题都不是孤立的,通常会牵扯到很多的环节,因此如果将视野局限于自己的一亩三分地则很有可能见树不见林,看不清问题的本质。所以我觉得全面细致的理解系统的各个环节非常有必要;而且我的技术目标也是希望通过n年的努力能够贯通PC系统从EC->BIOS->OS->DRIVER->APP的整个链条,把握系统的运作的脉络。关于这个“戏说BIOS”系列,我打算先练习一些BIOS新人学习作业,如:cmos dumpkbc accesspci scansmbiosdump等等;然后在对我感兴趣的一些BIOS的领域做一些study。现在让我向BIOS世界打个招呼吧: “Hello BIOS,I am coming!”

# U( f  L$ P% B' A2 o' Z  q/ B$ ndata segment. ]$ N% D# ^+ \- l# S2 h3 r' N" t
. Q8 C- L: j. T3 {* t& S( C
HelloBIOS db 'Hello BIOS,I am coming!$'

7 S! U& o2 K4 |6 [5 H& i$ {- P2 m
data ends
' \7 j8 v2 i1 x* f
6 j9 J, @! C5 W0 ?( z+ L  [code segment2 o% m2 {. @$ R4 j& |! l, E

3 p& d# \. r& Fassume cs:code,ds:data
% V; t! A; P7 ~7 ~: l: B
- {" c! V: A1 E( z0 |5 r
start:& [. _( d  G2 t; g% w* S, i. w
$ g1 b" g) [0 s& G7 j
mov ax,data

: T/ ]1 d) }0 Z1 `% C- C3 f5 J0 K: D! }# {  Q
mov ds,ax

' h- W. g0 r- b+ r/ z" f2 x8 C' ?5 B3 W; k: c' m, u
mov dx,offset HelloBIOS

; F, ^& i8 T; k2 U/ P3 S) u% }  t/ p: a3 m+ I$ {
mov ah,9
1 g6 Z0 ?( T! {) d& h# a
6 r: ~1 ~! G5 L0 w. f
int 21h

1 s  M$ b0 v3 a* _9 P" X4 W
) l3 P. @0 o  k! X+ S
mov ax,4c00h! z5 r' A8 Q+ i/ R! z! E5 B

4 c% s" T: D2 K& T1 aint 21h

% a+ J+ w8 l! ]7 u
2 V0 }" x7 y' p( Ycode ends/ b% F' g' X$ v# I
6 H* z/ y4 _* G( P9 V4 u
end start
- e, H# a  c: ?  D
5 m4 E/ m6 W( u0 o, t, I7 nThat’s all!
  ~/ R$ S6 q, Y& @3 `) N( rPeter 6 H2 j( y$ W0 |! s  ~- T. K' g' ?; h) i
  Q$ u" @) X( l0 W: B$ t' T
[ 本帖最后由 peterhu 于 2009-7-8 09:13 编辑 ]
 楼主| 发表于 2009-7-6 16:07:54 | 显示全部楼层
戏说BIOSCMOS
9 d  V5 G4 _% v

4 y( P/ h3 g' \; r1. Introduction# X( i# y' ^. B% F. J: y

1 }1 }% x+ v8 W$ o- V0 DCMOS全称为complementary metal oxide semiconductor, 翻译成中文就是互补金属氧化物半导体,它是由一颗小的纽扣电池供电的128/256 bytesram(现在的chipset通常提供256 bytes或者更大的空间)。它主要用于存放RTC以及一些oem的系统配置信息,所以除了RTC等部分其它的很多信息都是undocumented& non-standardRTC 标准的(documented&standard) ram bank如下表 1 所示:
8 A' v' ^0 O# t* F
* j6 V* i+ H2 W$ o6 T9 ~
Index

& P7 j# P) w2 T* D, y
Name

3 E1 D4 _9 W% X, E- I
00h
$ U: [' g8 k( a
Seconds
7 O. u4 v1 W1 R7 _
01h
; j) T' `9 L4 j& y  ~
Seconds Alarm/ i: V+ B& B6 S7 l
02h% o0 c/ |# U- G: P3 e2 _! P
Minutes9 [+ Z& B- }& ^) y8 a( n9 y+ A
03h
% g) r7 d2 X) r' i
Minutes Alarm6 ]8 O4 Q+ Q0 m( ~; w  H
04h; R9 {! a6 m( Q1 j: z$ {8 g
Hours
3 b- X- w5 E4 F! m7 ^0 q, y6 d: Q! X2 C
05h/ {! A8 E; F3 L$ ]
Hours Alarm/ a7 s5 G# ~1 L+ Z4 ~2 z7 J
06h
( L% e6 }1 u5 V
Day of Week, {8 x: K; I% [- t% }
07h
( V2 G4 S1 v3 Q
Day of Month
0 a( I9 }6 e! J: k! J5 Q/ ^1 N, s
08h' \+ w; o; p$ M
Month8 e0 V( V* Y4 ~5 [6 l4 l; Q
09h! }- W0 l  t1 E. U4 R% x8 W
Year$ `1 G+ P7 l* f& F7 z
0Ah
  T) Y1 N" z( x1 K5 o5 W
Register A
# J  D8 G6 i, D% X' \1 n
0Bh: L7 i4 I& [- V. u4 I
Register B
+ c2 I% V2 U# o+ A2 a% W$ \
0Ch
0 k3 l0 E, w& c: ]4 ]: F; t
Register C' J% x  B" X  I# w$ N
0Dh0 V" R  l) E( K# t. C1 {; a) r0 Q
Register D1 c) {4 ~0 B% b* m, r
0Eh-7Fh
, W) a! E" t/ x: |
114 Bytes of User RAM: e0 I/ e8 R  l+ k
                 表 1
! {2 i" M! }; S7 g4 b* o5 |4 ?% N4 Y4 H/ f  Y. N* x$ u
2. Access Cmos! ^/ Z* I% d6 P! u8 }

) ^3 O5 x7 A' T0 X& y
* W' P/ q' P  x/ |+ }4 `9 H" t- U* R: r' G访问cmos通常是透过70h,71h这两个IO port实现的,有些chipset支援256 bytescmos ram,访问128bytes以后的空间需要开启chipset的始能register,有些chipset使用72h73h访问扩展的空间如intel chipset,有些仍然使用70h71hsis chipset,因为这部分是非标准的,故后面的练习程序就不去读写这部分ram space。读写cmos的过程非常简单,读特定的index的内容只需要将index送给70h,然后就可以从71h读出对应的数据,具体过程如下述code所示:
! m+ ~( @8 v9 U
;----------------------------------------------------------------------------
+ C# w( k: B; Z4 c8 E2 o! G' S5 ~

9 O8 z6 c: x& B;read_cmos
/ K1 S7 w) ^6 Y, s% M; D" [;read the contents of a specific CMOS register$ t5 [$ g6 c  x8 n
;call with
$ E# M0 V" p( q1 @! i:al = CMOS address to read8 w/ Z; O/ {6 }$ e/ F6 K
;returns; L/ e9 u  C4 j( X2 |% ~+ L
:ah = Contents of register
% x7 G+ i  D- k4 n;used registers: ax9 x* s' h3 L0 g& k. W
;-----------------------------------------------------------------------------
; D6 M. x" o- e! @" D7 ~% dread_cmos proc near4 c9 W+ r% @* n: {2 s+ W+ p2 `- A' f; F
6 j  l6 y; @3 c

6 R- j* u! A2 Z' x; Jcli

& i3 e' o. ]8 A% B% @' R' a  ]8 q5 r: k1 t+ j8 ~
or al,80h;disable NMI

5 X; O8 ^; U) ~9 V( m- U7 k: u. m1 e3 v- N" X
out 70h, al
+ {/ s3 W* K, d& j3 z) B
9 ]8 S. i% V: S1 O$ v
call io_delay

! \9 S: K6 d; p# a  Q  C2 B0 q7 z! t9 _' h0 o% U( }. x' T
in al, 71h

3 A3 B! n; _/ q- y9 t) `
+ x0 n( \- B  K/ `7 S7 Zcall io_delay
; c; X$ \. T' k( V

1 W/ ]. x2 g% E# p" e. P3 |mov ah, al
# G1 d% ?8 P2 R& D0 {1 c: g3 Z$ E# \
5 H7 _% [( s# Q& n. F6 P( G
xor al,al

" z* \9 U0 f& O& `, _# A
) u& `1 Y: j4 }. k: g3 oout 70h,al ;enableNMI
" L4 L+ S! g& r& I* O

5 T2 p& `" u  K( Msti
( k( n1 S3 ]$ X6 F" j% `
; N# x# J( x8 Y3 w
ret

) S5 b, E9 m2 P4 C& c  K# F" p+ ?& d! j2 @
read_cmos endp, E4 P! E6 V" l
写操作和读类似,只是要将待写入的数据送给71h即可代码如下所示:
5 |$ ?" `  X# }% }- ~;----------------------------------------------------------------------------$ E* p' L2 j- |9 d2 K
1 e  F) @# L1 r% h, b9 ?
;write_cmos
' r% S4 v5 S$ ~; C;write the contents of a specific CMOS register( l, c$ O8 ~/ Z1 U% ^
;call with
8 T4 x2 ]6 x* l$ W; N' N6 a:al = CMOS address to write5 f. I7 g2 A/ c9 O
;ah = Contents of register
* K5 z9 w' T3 S/ t;returns:NULL5 J/ [! F% S3 a$ X8 x& Q
;used registers: ax
, }4 |9 S. q9 _;-----------------------------------------------------------------------------
2 i+ u8 t1 {: \$ xwrite_cmos proc near9 z- I5 [  p; b: C; n+ b1 Q: ?9 m' K

+ ?+ |- u. u' ~  }/ Y4 ^cli
8 j; B& K# |) F& q; i% ^( G) a  _; w: }

; T+ T0 @$ k# _+ B% u. cor al,80h;disable NMI
' N4 C  g4 k& a$ X5 e5 ]

4 `, Y( K6 P2 s/ v. S! J7 Yout 70h,al
" T4 _5 J/ A6 t& k. U

3 Q$ ]6 ^* i* G, T7 Bcall io_delay

% s6 r4 d. [# @& S5 K7 K) a" j/ p6 k4 x7 ]- Z4 h" \9 I6 E# _! b
mov al,ah

0 W+ c; H1 R& x5 N0 C% x* @  E
. U* X  `$ `8 Z" P* Aout 71h,al
: D' W  q+ F" K
& ^: Z: _5 }8 l& b( r) B- o6 u( F- x- W& I
call io_delay

9 U6 v, o  L8 J) b$ f
! A, t+ o6 I. x1 ^xor al,al

' S, @5 ~: e* w. K( i" M* n( x* ~! y  x
out 70h,al ;enableNMI

! z" o( B' D5 Q( c4 H  b; |$ Y# ]" E$ R& U' v
sti
/ H# u; A$ [3 ^' ?) i1 o; S/ ^) L

3 w' \" ^. |# K2 E5 U7 Jret

) Z/ n, M- b# [* A  e/ V9 M" s* q3 r7 p# i9 H" ^$ b5 D! y" a
write_cmos endp
! ]# H, |& r0 }! V) w: R& `

; I" o+ L4 P- X6 T% U" e7 v+ F另外有些细节需要注意的是:a.读写过程中都需要关掉中断以防止,中断处理程序访问CMOS以及RTC更新过程中可能会导致并发访问。b.NMI(non-maskable interrupt)是一种中断向量为2的中断,但是与常规中断不同的是它不能通过mask register屏蔽掉而且sticli指令也对它无效;NMI通常用于一些无法恢复的硬件错误,访问CMOS时也可能产生NMI,所以需要关掉。NMI可以通过70h bit7做开关。c.状态寄存器A bit7记录了RTC是否正在更新,如果正在更新则等到更新结束再去读RTC(我写的cmosdump因为偷懒没有检查这一个bitJ)。
% p2 Z: d8 l8 s, `0 p" N. T

" G  e+ W% R0 k% `$ H; K/ q1 _3. Msg Based Event Driven
7 ^" Y7 N3 f1 y4 s  h: P$ g
3 a' |/ }1 J3 K, v) K. e( Z; c! ^$ F) i( s4 O' u
知道了以上的知识,我就有能力写一个类似RUdump cmos的工具了下图1就是我写的cmosdump
# i; H$ x4 C8 R

# V2 n& l' B& H! c. h; I1 Y  @ cmos1.GIF
, J6 A& e: ]' T7 E2 g$ P! H& V我觉得访问cmos本身并不困难,画个UI倒是挺费劲的,一个劲call vbios
7 z/ J( @! [. `0 _+ H; S另外在完成这支tool的过程中我更深刻的体会到知识是相通的了,windows编程的经验在这里发挥了优势,为了能够动态更新,实时修改我就借鉴了windows下的“基于消息,事件驱动”的机制Mainloop->GetMsg->TranslateMsg->DispatchMsg一路下来好不快活!这部分的代码如下所示:
7 k: w! c# o, h1 G( P
/ F* G$ U1 g9 X+ T

) F6 Y3 @# [, k% R9 L& Qmainloop:; h+ O$ v& N, T

6 \$ v: D! {9 Fcall show_index
' X9 L! a$ {1 _# y8 R  o

& Q9 o, n8 Q( |call show_cmos* L6 F/ C8 T6 m# K

4 ]) I2 {% n" E$ Y5 s8 Ainput_msg:
5 g7 p4 k& }0 R5 P) u4 \
7 _4 h0 C2 M# smov ah,0

" M" S! q" L, s- N7 a2 I/ r4 F( a& k+ J, K) R7 t
int 16h
& J2 ]9 t, H+ n# q& j% a

1 [7 R. G9 A0 R( p" T6 P1 R7 v) Ccmp ah,01h ;esc
: V2 n! L. S1 w1 ?$ u. i) l

3 T! v9 b8 C# ]je exit
8 n; P( {1 o9 {5 E: O% d5 ?
: _& j$ r  S. L
cmp ah,48h;up arrow

* }& r5 A! L  Y0 U% `8 J% H* Y- r4 i( A  X; i0 n% q% G+ i
je up

* i8 W4 \3 H+ H1 v- J) o! G6 c
7 g; ]- t. q/ I. I' wcmp ah,50h ;down arrow
9 }; x9 `9 M2 n! L" c9 t
1 ~- g' o1 O4 Z# V. R, k7 G  F
je down

, {) W" d7 A; d8 o8 t) o
( B8 y; H/ e* kcmp  ah,4bh;left arrow

4 t- ~% _# x! I2 m
" ^% J8 A3 T  o% J, }' [. I% K3 O5 Uje left

* d& x- @; ~1 @) o% ^
* ?* W6 y1 J1 G7 fcmp ah,4dh;right arrow

/ U- c. ^- T! X+ D, [$ K# P; P3 |" E
je right

, j1 E. O. L# a( _! s5 p8 e; ]  I, A3 h9 m
2 n, `" s- k% P* U8 i
call input_byte
; X& U3 i. N; j) R- E; d4 q

9 }2 e9 I& c! z0 X- Vcmp bl,1
% L; ~( }7 Z4 c9 K5 n; k
" e8 P  e- o, Z
jne msg_loop

, L6 I9 v6 J7 @* k* ~" a/ q, g5 |! q* P/ F5 F, M
mov ch,ah
' S0 l5 Z9 M) o. V& c3 h
5 l; A4 y8 \3 s, ~. v7 d
mov ah,0

) Q6 k  a/ o% O& Z( E+ M! n5 ~- Y- `7 q" l6 D1 M3 i6 a
int 16h
1 Q: i8 R0 d% D( A! I$ A2 T5 y

) l* {: [! X% c5 K+ a- b6 g+ V( Pcmp al,0dh;enter

6 f# @  m' P9 S: O2 V0 o8 v" v
" `4 D0 h1 L4 W6 \je enter

3 l4 T; F# q+ U# c; k1 V5 l5 F' n. b! B, O
jmp msg_loop
: Y0 H6 D- L7 \2 h5 H
, x+ g( h# _. y. [( `& P" ^6 ?
enter:
$ }' [) j+ z' C+ M0 @$ g
/ \! z  n3 f7 x+ g& O
call get_index

" D% \: J9 u5 y0 Y6 K
9 V9 R( ~: v9 i3 fmov ah,ch
4 ]( e* V+ g3 d6 ?9 x9 l; X  C$ z
2 A! H) |$ M) ]
mov al,INDEX

' M6 u0 F# |4 N2 k$ u1 C
; S6 p7 C8 m. Q; I/ hcall write_cmos
$ s+ i  u, R) P" W7 q1 K$ q+ u8 ^# G

$ o/ A: _5 T" |! {0 t
0 z4 p+ K: U$ L$ s3 h. d
msg_loop:
9 m; x3 P+ f" [! D
' v, f8 ^- v; j9 s4 n: ^! I

* u" D$ s1 L5 D) S1 xjmp mainloop

+ f3 [0 w) q7 E% s( W9 H. N: y: \8 Y9 P7 m. `! g6 @: u
: c$ k9 r- r8 L, C7 X
up:( W; {+ ]6 g' S! `

* h5 L5 J5 n( z) I& o8 mcmp ROW,MINROW
7 F5 d5 n& w) v! Z/ E' s
. g7 K% S0 v/ e: M0 `
jbe roll_up
3 c& P4 K3 p/ T3 K! C

2 j! J  Y! S; h, H# M- gdec ROW

2 x$ z- a% U! c2 @! `. H
7 X# j) |7 O# e; E) ?1 M1 R( pjmp bypass_up
2 f$ K: B6 ^  N. n% t

2 B7 K& w0 F4 l4 z$ ?1 qroll_up:( i7 W, {& Q3 L3 u" y: d
. G1 Q! r; Q. m9 y* }, z6 n
mov ROW,MAXROW

4 g4 U7 [" m, N+ n8 n
( a( Y8 B$ O% T( i! J0 b: b* Kbypass_up:
3 X7 v, I; p0 S6 V0 l6 f5 [. o
call set_cursor
) [; K% a' O) d9 z) u1 G4 s7 d
2 @2 M1 G: b' X- R6 C" W% |+ e
jmp mainloop
3 d# i. R; f  W. {2 p( Q, e
/ o# D% w7 E2 C/ I5 r8 |
down:3 t: F# Y3 l* p" S- v3 l. H9 H
- ^: e/ S& b; k
cmp ROW,MAXROW

! W) Y6 ^$ _! ^6 G7 Q* p  G$ t4 R: X
jae roll_down

1 S% _! i' H- q5 w& o' G& ?% q3 c% M
inc ROW
9 X# f: `' _) ?; r1 N

5 `. z# M; `3 Z. j/ Fjmp bypass_down
- s/ U5 Q3 J( ^$ t8 f
2 X: g! k+ l+ N3 S& j: a
roll_down:
' d  |, s8 G6 z2 E$ v2 R& [) ]% P7 g1 H" ]% X1 A' |! \6 u
mov ROW,MINROW

1 u1 L3 _0 ^4 h  o/ b3 V) U: V2 m! X2 w9 ~* @, E
bypass_down:! u- f8 x" V# i
: a+ M( r  k, e2 h/ A" Y5 M- @
call  set_cursor
3 X* F5 @' ?3 r8 j! J

# [- N" s7 o) E1 wjmp mainloop

( x$ t1 @1 C# y+ g0 w7 g! i. F* g0 w4 P0 Z
left:
8 g5 P+ |' D3 ^  Q! Q2 z& g
0 U& j/ ^* Q7 Y( \cmp COL,MINCOL
4 }6 G2 o2 O& j, f9 `( [* j
7 x7 ?# O* u: j: ?/ a0 I
jbe roll_left

7 D3 g* I% R, J( ^1 U% }6 d& X$ T+ Y. G9 w# {
sub COL,3

9 W" Q1 `: n" X: Z3 d. w0 R. O1 S  u$ p' t2 x2 g% Q3 q
jmp bypass_left
1 A; n6 H7 w3 D
+ `" j- P$ F' M0 X* L. r. R
roll_left:6 @+ e, Z3 |, A0 z0 n
" X) H$ E! N  j: D6 x7 ?
mov COL,MAXCOL
2 y& Q4 g" M/ t1 v

8 ^% L+ P! e6 ~/ ^9 ~) Zbypass_left:
/ ?. |; w6 a- g& D3 ^3 }. z) x: ?4 O8 k2 M' Q6 X% O: B
call set_cursor

- q6 Z  b& D; z9 M* }: O& C* @
2 b1 H& W5 W$ S$ u$ f1 Z- ijmp mainloop

" w" N/ `3 H6 u5 z$ Z$ D& y, U6 q) ?" i% ^; U; q9 u2 o
right:
3 ?2 f/ v% ^3 y0 e9 t* G* f5 f% K
cmp COL,MAXCOL
) m) R* u6 q4 V+ f/ i* @$ _5 S

' y( G: F% E- V0 |9 l$ D2 b' [( ?jae roll_right

% F1 s$ z; U( [6 |& P% P, F" P; w1 N
add COL,3
! l2 y5 O5 M8 G; j! a& J+ q
& i1 ?* V( O# R- H) l4 I
jmp bypass_right
/ U. e( G/ h$ S# V6 D# M
3 r$ z/ y0 n1 }
roll_right:9 w1 ^  Q4 w' k" c. ~. d

# r; ]. V5 |( D  pmovCOL,MINCOL5 a7 b. y" g- l! a

1 I" u' z2 v+ `4 H( qbypass_right:
/ X5 \/ @* q8 M9 v9 v2 q& r; ^8 v8 f. ]* v4 ]
call set_cursor

6 n0 V" L; T4 I7 B. r9 N4 U, {! N; E7 f2 w- T
jmp mainloop

6 N, w' M2 y! i( e$ l1 S8 k, U
, P; e  c& r: ^, X" u4 _

7 D8 g* k9 S/ Qexit:6 i, K9 p# v% l3 e& f" s! F
/ u1 S2 y9 [; Y& o4 |
callclr_screen4 ?7 b! S% f% F

& g( W& z% o7 A0 C  @0 i* U9 Rmovax,4c00h
6 c2 c/ T' b9 u5 V
7 D7 `$ E: f1 O4 V+ ?( p
int  21h: G2 Y8 w/ H  H, n6 ?) U% l
. X5 z5 G& s! U  r. o
以上就是cmosdump.exe的核心架构J,完成以后觉得使用asm好别扭啊,可能是c/c++写的太多了,有点适应不过来了,以后还是要多写asm,增强驾驭asm的能力,让我的asmc/c++一样熟练。最后开放cmosdump.exe完整的source code供有兴趣的朋友参考,source code和可执行文件在附件下载
6 \$ O$ v8 M% ZEnjoy it
% D) m$ ?1 c/ y% }, A" a" `: v& \+ m3 }

! I% a5 p- D5 \4 R5 tThat’s all!1 u$ `) o2 |0 l7 I' N; c2 i

( u' s6 t# q% J2 f. CPeter
; Y7 B. c; ]3 D6 L
. m9 H/ H- S% I! b[ 本帖最后由 peterhu 于 2009-7-6 16:17 编辑 ]

cmosdump.rar

3.56 KB, 下载次数: 566

回复

使用道具 举报

 楼主| 发表于 2009-7-6 16:26:28 | 显示全部楼层
戏说BIOSKeyboard
% Y* z7 n4 N) ]4 `; _

5 J3 D7 N' ]1 b9 n/ R1. Introduction
; h  p+ @  N; t" t4 \
- ^( B! U% T2 L7 G% |2 G' P! r7 U  ~6 B/ i, q/ v* R( g+ O4 y6 o
$ L9 H9 Q- k7 W* ]7 q; ^
Keyboard System看起来好像挺简单,但事实上它远比想象中的复杂,硬件上Keyboard System需要两颗cpu完成key stroke的转换以及和Host的通信过程,一颗用于处理keyboardmake&break过程,另一颗作为keyboard controllerhost交换信息。一次按键过程在软件的层面上也要经过多次转化才能成为最终被用户理解的ASCII码。这个过程通常需要经历ma=>mv=>set2=>Set1=>ASCIIKeyboard System的架构框图如下图1所示:8 R3 l( l( `' n9 d: \9 W
/ D4 ~1 z# y: r! ~9 N
      ks1.jpg
3 K" t( Y4 I( b% v6 D这时MB中常见的架构,在NB中这部分已经被放入EC之中成为EC的一个部分KBC,但是工作原理依旧如此。
6 M0 k; J: p  h- n5 w
' j7 ]$ |! j" ]: @) l9 {2. How It Works+ T; c. v) Z% h8 C1 I$ i

/ A- P6 k+ J$ R- l- R* z那么当我们按下一个键,需要做哪些动作,才能让我们看到最终的字符呢?
" `- y* l1 v7 u3 y: ?
听我慢慢道来。当我们按下一个键‘k’时(make),键盘内部的8031会将kset2 scan code2Ch1 E" Q; O: U, Q' z9 Q
通过上图1的串行连接送给80428042
查一张set2set1的表将该set2 scan code转成 set1的‘14h’,而且8042会引发IRQ1通知host,表示有按键事件发生。Host将会读取60Port获取set1scancode14h’,而后host会将‘14h’转化为ASCII码‘k’,当我们松开一个键时过程同按下比较像了,不过键盘内部的8031会先送‘F0h’,然后再送‘2Ch’给80428042看到‘f0h’会将Set1的‘14h’的bit7设置为194h,以表示这是一个breakHost端也会收到中断IRQ1,可是host通常不处理break codeMake&Break% d8 q- l( B" {# a& ^
0 U* Y: [- H- x
key也被称之为通码和断码。最终host会将set1以及ASCII码放在BDA 之中。Host端对于键盘系统处理分为以下几类:a.字符键b.功能键c.控制d.双态键e.特殊功能键,对于这几种不同按键host处理方式也会有所不同对于c&d host会在BDA中置flag;对于a host会保存set1ASCII码在BDA之中(大小写根据控制键的flag确定);b会影响到set1的值;对于e host可能会通过中断调用相关的function
" D5 I' C6 t8 O& L下图2显示host的处理流程:
. R7 e! B# E; i) a          ks2.JPG : R1 E& r  G* B( u- v  b* f

' y& ?8 u* x( J( W. t. Z& a8 e) s接下来我将分别用CASM演示BIOS处理keyboard system的大致过程代码的原理是通过hook int9接管BIOS的中断处理过程,然后读取EC6064 port获得kbcdatastatus,并转为ASCII码显示出来,有一个要注意的地方就是EOIEOI是特指8259或者8259兼容设备的中断清除指令。需要在中断服务程序结束之前向8259发送EOI指令,如果在中断程序一开始就发送EOI指令的话,中断服务程序一旦比较大,运行时间较长,可能会产生中断嵌套,严重会造成死机。如果中断服务程序结束之后还没有发送EOI指令的话,那么以后将屏蔽该IRQ以及优先级低于该IRQ的所有中断。我最初就没有送EOI,害得我调试了好久。
4 g+ d' a4 e2 y, J! rC代码如下所示:
7 ~- z& w8 g: l  ~6 R
$ ]7 q3 {: h$ Z7 z: T: p#include <dos.h>
2 |7 Y2 K8 M7 X3 W0 \#include <graphics.h>
1 e" ^" \$ t7 x  }#include <stdlib.h>' Y( E" W* i* A
#include <stdio.h>
' M: \6 e# E0 O. @2 t; j8 J3 l#include <conio.h>' M2 v4 ^9 }& B/ R
#include <bios.h>
5 r8 R; O9 x) ]$ |2 p3 T: d
: A  I0 ^: S4 D! o- w/ svoid interrupt new_int9_handler(); /* interrupt prototype */
8 ~$ W6 }) J" U- m9 K! k8 j9 dvoid interrupt (*old_int9_handler)(); /* interrupt function pointer */( [8 {2 r6 V% d3 i/ x& i
unsigned char ESC_Press_Flag = 0;
! `6 Z* n7 ?; a* N- dunsigned char fifo[0x10]={0};
) W+ n( v- B3 H+ U5 A8 g- yunsigned char start=0;% h& ?' ^, ^& n( u5 I4 C% p0 K) G
unsigned char stop=0;# `+ o. S" @6 n% ]

9 G* t" d' b/ w& R- I) I8 Fint main(void)# ?1 i7 I5 B+ y5 w, L# e1 I/ P
{/ J6 P' d- M3 g3 l. b/ O

5 ~# n) V- j/ f6 [2 W5 S5 J" @printf(
"Used to test keyboard set1 scancode\n");
6 e4 }* g9 Q& ~; x2 o1 q
& O/ U+ l/ U# W, ]7 t; X+ V; j
printf(
"@author:peterhu\t\t@Version 1.0\n");. b* s, b3 x9 k* X& t

8 s* ^+ n; A, E2 @. I; Sprintf(
"Copyright(C) LGPL\t[ESC] to Quit\n");

) }6 k3 o0 n2 n& R8 E: k
; [6 }  Z- t: n, G          /* store old interrupt vector */
3 H% [" E3 ^8 n% R+ ~5 ~- N1 O8 w7 N
old_int9_handler = getvect(9);
/ f- P' g. H4 G
          /* set up new interrupt handler */' d" k0 y8 ?0 P+ {. {

& u8 y& X, X" Q; ^6 E0 g6 Esetvect(0x09,new_int9_handler);

8 A6 G' r0 `% z1 X
! _# {6 d9 n4 s. J          while(1)0 n. @" p3 F) o  l; @3 Y4 e
7 e1 a# K4 ]' K( Q, }: i
{
1 Y/ k# q& V$ a* V% J: _
                   if(ESC_Press_Flag)
6 f7 V$ O$ B' f. c) O6 p1 p0 v                             break;# |% p& P0 ]9 f: `0 }

4 @' F& r9 e5 p1 Q                   while(stop != start)7 p; N/ J1 x! d7 z. L8 N; o* j; D) O- g
! u- ]2 |! c" ?
{
, v- y" y! D1 y% s

$ X" L9 d/ T2 ], r! _; j! Tprintf(
"[%.2x],",fifo[stop]);

& \( R9 W  K! B3 \' o4 K8 h/ V- k: J, `/ T8 f& d0 Q% f- y
stop = (++stop)%0x10;

5 u# ]* Y7 y9 U! J  W  M
0 R7 j! E. d. D1 k! U; H  q0 l8 C0 J}
1 o, ]0 u2 d" r1 u
1 |' O5 H8 s/ A% j  g- O0 M  k
}

2 p. o* v* M: @+ j8 s6 h
# a+ v8 H( w! M% e: b* l& C8 Y/ T# G( X* |8 V9 J' J/ B3 h. `' r! g/ g0 p
setvect(0x09,old_int9_handler);

4 z& y$ a( _. p2 C, y* v+ u8 T; t3 h  T
clrscr();

: p" \& A; J8 d. q6 H1 f          return 1;% a( U5 A0 j* V1 u5 I
}( V2 s1 l8 @" X5 L* G1 A

. d# u, `/ I! o- t$ `6 J4 `void interrupt new_int9_handler()
; I" l( _- h* I6 p' R5 @{
( P9 I/ l# n7 i( O          unsigned char status;
  F( P$ K' P  A: o          unsigned char set1;3 ^1 M2 }8 B, b9 p9 V# |

( x4 h& d9 f$ a" I* ~2 E' O7 odisable();
. e0 l4 {0 W) h$ k+ f
7 y& ~1 w! X! Q; n$ D

( ?/ X5 ^* S, j9 W1 Bstatus = inportb(0x64);
' i+ m9 D0 {8 L8 e8 w8 r/ i
          if(status & 0x01): t, E9 \" S9 G  I& j% n2 \
8 x5 S! f7 Y( }, H* E
{
1 U7 `8 U% k. B" f1 y% I9 e

8 G4 v  R$ ]* [) u$ Uset1 = inport(0x60);
8 L+ b* l: ?* i. r' R
( v# N" S! ^8 X
fifo[start] = set1;
8 g# H2 `# _5 j2 h% J( y: E3 n6 ]
$ t% x' g, u) Q; J& J9 r
start = (++start) % 0x10;

) F4 K( \: T9 G' F, S9 m2 e                   if(set1 == 0x01)7 f$ H8 e$ D; ]2 i) q  j. s* r, |

$ H# W! \0 ^% o" C+ |, L0 B9 v' t6 `# u
. j2 }" _2 K. `& A9 f8 G5 jESC_Press_Flag = 1;
  t) \& I' w' A$ p% e2 M% I9 L% Q

$ p& [- L% f9 o4 B# W5 m
- S% _$ ?+ E6 Z5 f* u9 s4 E( L1 j. ]* @/ Y
//printf("[%.2x],",set1);

8 A$ h# {+ ^- I. m( ~5 H$ r7 O$ b8 h" ^9 I" B
}
, m) l* }8 @" ^
, F8 F! B7 V! U/ d* v
outportb(0x20,0x20);
- s. H, R7 E! v5 _  J

" o, l- \, \# Q, w) n  V
! x; d$ p' H4 R; lenable();

. s9 P* I- K/ O$ K0 r1 g- ~}
: Z8 B% j  |" s; S* _& H, H9 ~) `% E2 I
ASM代码如下所示:' u3 }" a" |0 Y: D7 e
data segment ( U7 q4 l) h' \" X2 p: s( ^) l

, j2 H$ ]9 b5 _% m! |0 e" QSET1 db 0

9 l! w/ z$ r" o  N- _H2A   db [','0','0',']','$'
4 M% q7 Q3 G% {3 D" K0 i
MSG  db 'Used to test keyboard set1 scancode',0Ah,0Dh,'@author:peterhu',09h,09h,'@Version  
) o! D) S8 {9 S8 [
              1.0',0Ah,0Dh,'Copyright(C) LGPL',09h,'[ESC] to Quit',0Ah,0Dh,'$'
5 w4 N7 S6 W" q; xOLDINT9 dd 0' b6 a4 h. `7 D
ESCPR db 00 N, s2 @, N$ s3 I0 z3 C

8 t5 g8 K5 G, L. A$ t' d/ edata ends
  x5 M; o) M; p- {+ F* ^0 X& {0 E! K1 Z2 V* i& x
code segment9 c- Y* I$ v- B; }# |; k

' d- E" d# P  e( V; Jassume cs:code,ds:data
- ]# K1 n) P) ?1 A3 G! g, @& K  A0 e- b. R0 p# F/ Q& T4 L
start:: I( a: |- }8 J0 a% o) X2 x0 n
8 G! l2 c! ~( @3 ]3 t$ e! ~3 I
* I- j/ _" U6 f* T. l4 k
mov ax,data
4 \  j, T  c* }
" [, R7 M+ H$ L! A( n
mov ds,ax
6 E3 ?% d: n9 u6 h4 h

1 F9 }( ~0 e. J9 O/ Fmov ax,1ch
$ I- h2 g3 p6 Z( B% B" e

* o+ \* m) P( q" rcall hex2asi

4 M0 }# }$ n" Z# e' D4 d* n8 w" o& p- ]8 @
call show_set1
( e, p7 j, ^+ P$ s0 o2 F
$ B2 P% H* j8 k
call show_title
9 s3 a% _- _. _0 `
7 }/ t$ n( o2 z9 y
call back_int9

- A, m: z/ j% e
; k0 |, }5 ^( v  T, j5 Icall install_int9
  u# d+ K8 N/ j, a) v

1 m- v/ C. W( U9 rl0:6 W9 i( J9 P  o, {7 @3 {

" q5 A5 H, l. ?- H* M  g6 h! I) Zxor cx,cx

: r+ W0 c: p1 ]% G/ }8 T, A- u$ y- [, d" @  L6 T
mov cl,SET1
$ V4 U- m3 j6 S) w
8 Z# O" \  P  r5 T
jcxz nokey
& {! I! R. s/ p1 a5 Z
+ N4 [  s: F; R4 _
xor ax,ax

; R3 k( v; r* S; l* A. ]& ?
/ w; ]9 N0 K0 r' J- a; h. B+ u; V/ Tmov al,SET1

1 z! x( s+ H+ M7 U. c
0 R& `6 R( W- x+ G) ocall hex2asi
+ j6 q: e* \) Q# f
8 C! J* c3 |- ?# `& m$ h5 g. Q
call show_set1

% {) X0 r8 S8 V
8 i- G% o, h  v6 Umov SET1,00h

  B( E; u/ `9 Y  Z& b1 e* }1 U
/ f5 h' R! Y0 Q# x8 y9 k% d4 F! ~nokey:
% B5 m* D# ^( H/ z( V3 A/ g
9 o+ c/ ^  W1 w; R. ymov cl,ESCPR

' D! y4 e. O# ~! T8 C3 N  o. @) s% c& u! |) c; Z" l  m
jcxz l0

- d5 ^/ x6 I% P* c1 c2 r, X' Y
/ ]1 G' ^+ o3 j, `! I
call restore_int97 ^; D' q9 w5 S9 H3 f
; m, P; Q( y$ A9 J( K1 ~9 V
mov ax,4c00h1 a% L6 h/ v/ @( t4 U0 |0 Q4 R3 M) J
% M# E5 {5 p# e% X) {, Q: u
int 21h

# w& ~: I% S3 t) H' }7 m9 t0 `! D1 A" {: s+ u& ]/ y9 W
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
+ S' H4 c! _2 n. _% |7 k5 V3 [8 M; N;;sub routine for store and show set1 scancode
9 ~; _$ W/ Y% l: p8 F) [/ G;;for keybord strok maybe something error :/, S3 C$ x. ~8 D" x  g
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;2 ~: G( E* @3 e+ \% Z
9 `$ t5 ~/ A% l. M5 L; l$ z
int9_handler:( X5 a* q* H; O, i+ W4 I
cli$ n$ G7 f+ l5 q% r& l

8 v+ c5 y$ T6 h/ min al,64h
" P/ g2 X  d3 I: g
: C' q9 [, q5 V- v7 S- Fand al,01h

7 M( l# M9 n3 I
- I* {3 d8 H0 I$ {: s9 j. Kcmp al,01h

6 Y6 j8 v, w* ?' [
# l0 N% V" `, |* f- g( ]jne exit9
# f) k( Z8 j* j4 @  {$ F& T9 z
% X$ W( z) b  G, y1 @4 o+ S
in al,60h
1 H8 ]. W. e+ Q4 z  u7 }6 l
( |, ?7 ]4 U1 G  C
mov ah,00h

. Y3 o0 X! x+ H( c& Y7 f& K8 o
: C; M/ Z3 ^6 Y$ `- Vcmp al,01h
# v5 W  ]- K! f9 ~+ K& i% A. A
. U: F# y3 s' T1 [% @3 y- ?' h
je escp
8 {4 ?. c7 J! o. v/ y0 j' I, }

1 @. A- V+ O8 v1 J9 Y) V8 Djmp exit9
/ z& Y5 Q0 O, g" Y, C
* R5 g8 Z+ S7 H3 ^
escp:
$ i- @" r4 j, ~3 P. |- v: R: v1 t1 a+ n( g% @
mov ESCPR,01h
* v) S, ?. p  o) u! ~  |+ A
1 M- a+ b! O7 W5 w3 q: q
exit9:
( m6 ~- z. B( `- U( M: G' z' R6 I" k. s1 O- X( E. j3 X
mov SET1,al

3 k: v: p& y( y( q3 K5 e: V8 \- S/ L
mov al,20h
$ K3 m/ V' k3 R, L+ Q8 v8 I6 a

% X7 R, p: N; Qout 20h,al

. |& r" j& s! D/ t0 u
- e, }( J8 o* @8 R! \sti

! C1 C# v& _3 a8 m- n; c) T$ I) ]6 W
iret

+ H+ c; a# G9 U$ j) B( w
! C4 R# l' x' S: Q
' Z. w4 o. L8 r. |# J4 d0 G
back_int9:& C' z* f9 w0 P4 a& s, E

( E0 t) [! P( Y0 u- @; _: Z; x

) C* s" N$ {$ I7 }push ax7 P4 U' U, H/ y" Q% [, e
, U/ D7 ~- d5 K% F
xor ax,ax. s) c0 v0 j$ y- U  M0 y+ ?% y% h9 J
& v5 D; M$ H* h( s. l( j* m0 h! G4 R
mov es,ax
5 I2 v- a* v6 r: D

. x6 g7 x: Q; ?* n; l7 b+ Z6 M3 _: i# _mov ax,es:[24h]

0 Q' V. `3 B9 J' D* a- ?
- }0 {1 }3 Q3 V2 lmov word ptr OLDINT9,ax
& s# A2 \, v% `& p: g
( m/ c  O% x" M% B9 |2 \
mov ax,es:[26h]

' t$ k7 @, c% L2 A- Q) H- @4 u3 b% ~' P1 Q; L% `
mov word ptr OLDINT9+2,ax
% d9 X1 s, e' }7 G* i
1 Q% V9 y, M. L% H) ?3 y6 W4 F2 p& N
pop ax

; F2 n: c, z/ [
( z9 t, _/ z# v8 z) g1 Z3 hret

; p- V9 q7 s( B7 N- Y! S0 Q. L! L% Y- N$ g
restore_int9:
# s( M; z+ V; B
# g& B# W$ S7 G% F0 o* `0 _0 Z1 s, s6 f! V2 \
push ax1 D* b2 p2 p. u

$ t0 u+ b2 a. y. b2 O+ D1 U. i2 dxor ax,ax
+ }9 q9 A8 S9 P$ a; n

# j' S3 T; @! k( R8 {; @$ T! Hmov es,ax

; F; u5 d. L+ d% q% [& P! A
6 b+ c! ~: X4 q& omov ax,es:[24h]

# Q8 s: l/ R, w( g
, Y0 b& J- @9 {5 o3 wmov ax,word ptr OLDINT9
) C+ h! `: I0 o# |; D

7 q; r( h' D5 y% @% [" @+ T- cmov ax,es:[26h]
& I; ]( A. D7 B' i

2 H& s5 x) u: n3 a& Tmov ax,word ptr OLDINT9+2

  S! s6 W  C; _; k
5 _& v4 Y, K3 o$ E) t+ C) xpop ax

7 r! [7 _" ]; K) g  {4 d" {$ @; L- O9 q# j
ret
$ p* c0 D$ o- z: Q: S2 \

* A) D. ~( w6 V7 g! _install_int9:
- h9 f% q1 `+ }; h
) S& [$ f4 ^, @% P& H6 f* O& Rpush ax

3 b) X7 ^) i* P( P% r0 K/ E  A9 n- c
8 {& ]' K0 W! g) R$ n7 Z3 qpush ds
% d6 b  F  E- z# _/ ^  w' n

0 n+ J5 T3 @" ?% r! v3 C1 Lpush bx
# K) X6 E7 v9 H, H/ t& _2 U% Q
# U+ T( i" Q2 l4 R9 d
mov ax,0
$ W7 g8 @( l/ \9 L: e# r9 n+ c" d9 }$ C- m- x
mov ds,ax

+ n' U) f! @$ R" l+ Z% L' W$ I. O2 b/ i: g4 W: R0 \2 x, X( K
mov bx,24h

+ r1 R( h# K, z# H5 @6 O/ b! _6 ^, W. O7 s
cli

3 a8 n$ F# I3 I# ~4 {" w2 U) \
& t3 h/ R2 b& x( [7 ~
mov word ptr[bx],offset int9_handler
* a4 A2 F, }  S9 e9 u1 c' w  T/ q% l7 O
mov word ptr[bx+2],seg int9_handler
' H9 c4 `( m$ H/ z  D% a  x
# p- B. D  _" k; U
sti

* a, |' w5 S- r. g
( U) c9 |6 K4 P& P; J% k  kpop bx

2 x# J" N  \  S3 [/ L! J. o1 Q  a; N6 U' D& B  K& g  C, m) c# }
pop ds
# `8 p- i* e. q: L

! a3 [) S5 b' y* Bpop ax
; W, f$ Y' ^9 }5 _
% E$ o, B7 s7 F( h+ \% _
ret
* E# V% i' H, a6 x" G
' B0 q! e  O. m# J3 M# b2 Z
show_title:: h2 ?, A  W/ f/ O* z7 x

; n+ m; h" c- y6 p& l/ vpush dx
! Y: U6 D1 O! d; C, B/ z1 {

; m# R5 i- a# E* Fmov dx,offset MSG

$ u- ^% g! N  _2 r5 i; i
& ^' ^: X+ d1 m9 jmov ah,9

! M( V5 N+ M4 K& S+ w: h0 K8 x
- b0 R) }8 X7 [1 R% dint 21h

4 E" }9 x: O. `1 C& E  G
$ u; E6 a, W1 _4 q2 Ypop dx

8 y+ ^; \  N# O8 S# l# d
  M6 n5 E5 M$ L9 U8 \( Iret
2 F' w% u0 t: v9 ^. F: h
+ r# F# a+ U4 j" V- ~
show_set1:
9 B5 _" k* ~  B. @0 ^( B# F! Y- u2 I0 y" b+ Y& R  I2 J
push
dx
8 |9 g( k' l+ ~+ f9 z! d' b
& h2 ~4 \" I8 w# Kmov dx,offset H2A
( k/ X+ G% I1 J- M  w, S9 H
# z7 n% b. ^6 ]4 @3 o- C
mov ah,9

3 ]+ l9 _' T. a$ S* g( u+ D3 `& }1 z/ U  `
int 21h
2 o: }5 G7 P4 i* d7 g" y
# c% ~1 K0 D  p3 M
pop dx
7 |, U0 D. B) S" @, z% k8 v
/ ?) R3 w! i8 C/ Y) n
ret

- M5 x. q% G" f6 d7 v. |- L- F! y& W, E! n: ?$ g4 r; m! m( Q
0 e# S; f; E# Y* X9 L) G
hex2asi:
. E. V) Q- m: ^% a9 o5 n
/ g) q- _. _( [- c7 epush dx

5 b. W& j/ i6 o" J: b' G5 u3 U) `+ @  _
" m! M' E( s0 J9 V4 g, z9 A% e- Lpush cx
( S" s+ D% k2 {+ x3 s- s/ K0 d4 A& L( ^: s! \# J9 S
push si

- v, g! Q- s3 T: B: ^7 i/ r3 j7 J% y, T; ]8 b
push bx
0 h) W# @' Z) P3 R* x7 ?2 V. H( X
, j  s5 r& S7 j: o6 o! [
mov bx,0

4 t, Y3 r% M6 `/ M* [; l5 k* i# p+ Z" c9 m7 n$ C9 R( s" R
mov si,offset H2A

% _9 z  _+ f. G8 C. C2 C/ J6 L4 m) e0 U" @. d. o3 z
mov byte ptr [si+1],'0'
4 O+ v: y. d5 z7 {

. L. ?# f* h! D; \, @) N* Mmov byte ptr [si+2],'0'

! w' E: c9 f; D$ ?5 v1 s
4 z" c( Z. I+ {5 N/ @ha1:: V# [3 c4 H' m0 ~. p# O

5 o+ v  Q2 ^9 K4 Q  Lmov cx,10h
( [; ]( M0 A( u% p# x
" V2 @2 w+ o7 `) n7 e% a
mov dx,0

5 Z7 ~) R; [4 r9 y' a5 w% Y7 t: b5 {: p, d( L
div cx

, c8 _# M" n; Q9 O% U
9 Q! H5 p( p. ymov cx,ax
: y. c5 d/ k. W- Y* |' d- R

" w  f  |4 r" h+ D! Ujcxz ha3
' ]7 r! U* \# X& \
) q) [$ u/ y- M8 W' o7 B
cmp dx,10d

; y0 @4 V% Z! S$ z6 \) I3 z& ]9 ?9 W( l; m" l( l
jnb ha2  D$ I& F3 ?$ I' U7 S
+ `: Q4 r5 S! B# ~- g
add dx,30h  ^( p, N6 ~, g0 H7 P

! b/ @' N5 [* S+ }4 q" lpush dx

  Y! y% p' K" s2 B4 E3 j3 ?7 R- m
: X/ S) I, Z+ Y9 rinc bx
5 [, o- q  L; R0 T* B: z
$ k  q1 h, K' T0 J& g
jmp short ha1

$ O9 w3 L/ N" N8 Q9 N# v5 g/ j
+ L  P" o& k; }+ `) ]  b' y3 }ha2:) x% d9 ^* P" ^, P$ d

% g4 P3 g' F' k2 bsub dx,10d

$ H( H4 h5 m- b* b
: x( h) C* L" `+ W0 radd dx,'A'
0 r& l  {1 e& F' ]
2 ?6 i( E# O  J5 N' w! Q
push dx

" p. Z* x6 \  e3 H, s# r6 P0 `" t# _; C& }
inc bx

5 |6 V; \1 ]. @) }% o/ b% V3 a0 @& Z+ [, P; q* F7 }& i7 w7 y
jmp ha1
3 b1 ]% y8 c, V6 E& T& b0 l7 M

+ ]9 m% A7 b$ Y4 x- Vha3:( L- Z9 W4 T4 J9 d# `9 f" F# L& k

0 w4 J: r3 ^" Kcmp dx,10d
5 t# L- d4 ?' v9 o/ f4 e6 Z
8 L, m: j0 Z+ `" q/ v/ A5 C
jnb ha4
$ A( `* W2 Y) d& E+ ?0 I
# N1 |* E/ t4 a4 J5 C  M
add dx,30h

2 ~3 N  N4 k! x, U/ G4 z3 J6 E( M6 t/ f4 O) c  H
push dx
9 l4 ], A4 L; L( A5 Z9 t9 x
* i* K7 D7 o/ t6 m4 B. @
inc bx

# Q9 ^+ P! r/ {! l/ [7 N* @, j
. |1 e7 W( _9 ~mov cx,bx
0 b  }- t$ |, W: y
# H; b& f2 O* S% o
jmp ha5

& b1 O! Y6 o0 W, l! b/ B2 O
$ _- G9 c# d+ r) M0 B. D# q' `$ jha4:, e( \1 J4 h1 b0 G/ E
8 A, _% K8 a, W( D3 U6 a& m
sub dx,10d
0 S8 t0 W) F$ G/ ~  `
2 F) S% ?; X9 [8 A" |3 r: H! W
add dx,'A'

: D( r; J/ D% U2 m) D9 k, ?: D' Z
push dx
  m5 E( [7 A8 e2 p9 c7 B

6 N) P3 M( N* Xinc bx

- m* j8 ^; @% L. l& i1 M# F# I* Z8 q! m" G3 M' v# q) k* c! m
mov cx,bx

) J' {+ O8 t& l
2 }. e/ i( o- z6 r& Djmp ha5

0 _' a" ^. j  n2 [$ @) @2 f/ Y( h/ E8 H  A
ha5:5 v4 x. m# n8 B# F1 {" A% {
pop0 B1 U/ E$ a  d- a  x7 R8 Y
ax

7 P2 q$ B) @. B
6 m3 y' d! t% B( I/ F) s. }  fmov [si+1],al
  x" c$ x4 P: z, d: b8 \! F( L
" q7 x3 o' Y% X' C9 z, V4 u
inc si
: p% Y8 I5 a+ f" ?+ ]  ^* P# @
+ `' h: _9 {; _2 z' d* v+ I2 c
loop s4

) O8 w& n( |0 L1 |6 {) x( J7 t0 _
, Q$ z) y4 p& R3 R. L& gok:5 ~0 {% [% q/ z* I3 E$ n, R) M! a
6 Z9 k( e" ], ]2 O7 B5 Y# k9 S5 ?
pop bx

2 G* H; J& r( a/ J+ `& ^" K5 ?9 ?! Z" R( E  p0 z
pop si
  b; J7 R* J$ \4 l+ _
# k* B+ `. z  j5 w7 `- S
pop cx
/ Z* `- }4 C3 O" R
+ K3 c1 E0 F: y8 u
pop dx

: k9 h! P% D5 a# |0 G  h  r0 D1 e. @$ ]1 J5 [2 a4 F
ret

: H5 p* z3 m' h1 a) O& [" F  F; ~# C/ M7 u: g5 B; z
* l0 S; j# u) o6 E6 P; D
code ends
7 |& O. X; d$ I+ J; U* xend start
) x) C! G5 g) I1 D9 k( ]  Q( U上述程序运行状况如下图3所示,一旦有按键动作该程序就会显示set1scancode(没有给出相应的ASCII码,凑合着用吧J)上述代码可以在附件下载
& F) e. ^4 p: h" n3 Q8 J2 A0 ?# x/ \# X" w: t2 M0 K3 u

  a+ i; t) o% C2 m7 W7 u% ? ks3.JPG ; m. U! T7 V- Z; s. b
REFF:
- ]3 {' D* b. r' @- m# ^9 I" ]<<The Undocumented PC>>
8 n  B0 ^/ h6 I8 J9 S
3 X" x! k( N7 f' fEnjoy it!
/ `) e0 ]+ b- r; d0 v# j. i1 R/ k  m0 qThat’s all
; s$ `: V5 [+ V, @. D% o  u1 L" B% ?( F
Peter / S& Q8 j9 x* I! a, Q

* c) U( M# Q5 _, {1 ~  j0 U[ 本帖最后由 peterhu 于 2009-7-6 16:36 编辑 ]

KeyboardTest.rar

2.07 KB, 下载次数: 471

回复

使用道具 举报

 楼主| 发表于 2009-7-6 16:41:36 | 显示全部楼层
戏说BIOSBeep

7 @( \; ^3 k8 `( k

( Q- }8 A4 P$ B- S- T) C( z7 L1. Introduction
8 Q! n3 X# \- L/ Z
$ g* b9 y( E- f1 n6 U1 c, l大凡用过电脑的朋友都应该听到过BIOS的报警声,有时PC开机的时候就会听到嘀的一声,有过修理PC经验的话就更清楚了“一短内存刷新失败,二短内存校验错误,一长三短内存错误,一长八短显示错误”等等诸如此类,可能各家的BIOS定的规则不同,但目的都是通过报警音获悉系统运行状况,找出病灶对症下药(有点像中医诊断中“望闻问切”中的闻J)。

0 c9 q: z) s8 A9 C0 {$ o* t+ i4 c& ]5 i, ^  Q* X$ s
2. 8253/8254 ; {6 z- h: t/ s: V" _

5 S, M- \- Y+ h, t8 ?Beep声我们都听过,但是有没有想过这是怎么实现的呢?带着疑惑随我开始探索之旅。提到这个Beep,它可算是历史悠久了,追溯到IBM的第一台PC那时工程师们可能觉得pc功能太过单调枯燥,于是他们就祈求上帝给我点声音吧,于是声音就有了J。他们将一个简单的扬声器加入了最初的pc硬件之中。光有speaker肯定是不足以产生音乐的,因为音乐得有音调和节奏才能组成。虽然我不懂音乐,但是我知道一点就是声音的高低和频率有关,所以还要有能制造频率的东东这就是8253/8254。既然提到我就大致的讲讲8253/
82548253/8254是可编程的定时器,82548253的增强版本差异主要在可以外接clock频率不同,其实使用上无差了。8253有三个独立工作16位的计数器t0t1t2分别使用40h41h42h port去操纵,除此之外还有一个43h port用于设定控制字。三个计数器分别编程,但是在使用之前必须先配置控制字,控制字主要用于选定哪一个计数器,选择计数器的工作模式等。控制字的格式如下表1所示:6 O7 R3 n. ]$ ^! e( i5 I% |
3 b* ~  N0 e$ `; n: t- x9 n
Bit 0
% d# G7 }% z5 Y
计数值格式 0表示binary1表示bcd, r1 G  |% ]$ }
Bit1~3
  n+ _9 V9 B$ @5 C1 n
模式选择
/ |# t7 o! H+ b  A) ]. a* k
Bit4~54 l& h. I! d: s! w* S- z$ w& ?
读写指示# m) F/ {4 W5 i! Q+ g
Bit6~7# I3 M1 y- d* p- P  ^& C% T
选择计数器
; T- M" K' t7 H; Y, D4 W6 R  k

2 d2 ?7 ~; }+ M             表 1

4 u7 b2 Z- r  x3 J# w2 P
; x; c* r3 i" L2 G0 J) E其实这三个计数器在PC内部已经规划好了功能,基本上不需要用户参与了J
* r, a, T$ X8 g$ w* t- Z6 rt0:用于系统时钟提供定时基准,它的输出端与中断控制器的IRQ0相连。
- q, l5 X- I; c8 G* ^5 J' u7 yt1:用于DRAM更新的信号,每隔15.2us刷新一次。
1 G1 U. E5 t: S+ M1 Q, E0 J! U1 jt2:用于控制扬声器发声,作为speaker的音频频率。
9 P* K4 ^, z7 H' P/ Q所以我们知道t2用于提供speaker的音频频率,驱动speaker发生。这个部分早期驱动电路如下图1所示:3 v; q) }" D: s. z
- p6 O( k8 u+ l$ ]
' C0 `3 q6 N0 b8 w2 y. [0 K9 T
Beep1.JPG

7 g2 a- T: e0 {由上图1我们可以看到61h PB0控制T2gate2,也就说只有将PB0 pull high T2才能工作。另外PB1T2的输出端OUT2经过一个与门运算然后再驱动speaker,所以PB1也要pull high这样T2的输出就可以操纵speaker频率了。图中的61h是没有介绍过的,那就再来聊聊61h port61hXT系统中集成在8255之中,8255是一颗可编程的外围接口芯片,61h对应8255port B,它是一个8 bit IO port,每一个bit代表的意义如下表2所示:* h) o  v; u4 S% e: a+ D/ V' t  R

6 e& e* A% d2 G( p( G, L  D
Bit0
. Y; g& \" ^- N* E% j
t2 gate2 控制位
8 w2 r6 S1 S3 b$ K) j( h

$ T2 o0 j* q* f8 [  H
Bit17 c3 l6 u# ]  f" x% l# i
Speaker 控制位
' E# ^3 v& A% K* d/ W- I# x7 r6 [  J
Bit2
6 y/ n% \7 b, f! K( }  h6 J" f
DIP相关0 ~" Y3 Q* p; t; o1 t
Bit3  T1 s: G! Y0 [0 N/ c
录音马达
& v* J- x3 I  c
Bit4
! s! [: O" s6 Z  h; t* X# y4 S! |
RAM同步更新检查位; J8 [2 E2 A1 K( b. J1 K4 i- B( O
Bit5
- I* k1 L" `- W- C# F, }9 e$ Y2 q1 u
I/0通道检查2 F% d3 Y7 s5 v2 m
Bit63 O5 I8 w" E% V3 t  d# ^
Keyboard 电平控制; j1 @; Z8 g2 D/ S8 ]- b
Bit7" F' P# Q' _0 b. ~+ P) O+ S# B9 n
Keyboard 始能控制1 S! w& ?, l) c5 H, v, ?6 u* d: s

; s2 q9 B* C, [6 ]             表 2
( D2 R( u" P; G; S* N$ ?! ?  q
AT以后8255已不再使用,port61h也使用别的IC代替了但是它的主要的bit功能还是保留了下来,所以仍然可以使用I/O指令读写61h port5 c- K5 Z! M, _4 S
8 u# ^8 O( i, F/ p1 ~0 F; ?8 d1 Y
3. Beep~~~~~~  U1 [7 X- v4 E# B+ |

7 p: m7 ^4 L6 ^+ D3 o知道了以上的知识,我们就来写一个“一长三短的内存错误”的报警声玩玩咯J。需要做的工作有三个:a.通过操作61h port始能speaker inputt2
gate2b.操作8253控制beep音的音调;c. 音调保持一定的时间(也就是声音的长短)。我们逐个的实现上述功能。) S2 r9 [# p- t9 x+ a
a最简单只要将61h portbit0&1 pull high/low即可始能或者禁能,代码如下所示:( m/ h) @0 j5 C+ M3 _- a
;----------------------------------------------------------------------------" l) {$ N8 x" S6 a) s8 v; Y2 [6 ]: E

: v5 g& V/ p0 }3 ?% a; n;speak_set7 |5 A9 }5 U) @3 |+ T/ |
;en/dis speaker input control&t2 gate2 control
$ L4 E1 P/ G  Y5 x# O8 o;called with:cx: k' v# P- p0 ?/ A3 u3 Z! A
;used registers: ax. L- [5 O% G- w  H
;-----------------------------------------------------------------------------) g5 u) z+ H, A
speak_set proc near% @# k% ]. \' C6 u3 e  v  v5 a
% J7 O1 I  q% }- [, p
push ax
/ O) x% V2 G5 }0 t5 F9 U7 F2 d4 A. p& I
in al,61h

# `. g  T1 Q3 _& v
6 g0 ?6 `( J" \- J9 `jcxz se_d

% O; ^7 M- {& e* u; D  j2 B" N
0 _* h5 [2 v+ bjmp se_e

) P) q! \% t$ w. X! l" @. W, Q9 ?8 {5 l0 n0 }8 c- x) f+ t& A
ss_d:
( Q4 h% i& d8 L- H4 h  P! d9 e+ [9 w+ |  U. T" x& R
and al,0fch

) h- ~3 z* U4 E1 W* C+ ~
+ w8 p* L* Q2 G* z3 Ajmp se_done

% J, r- b  z$ o* |. ~4 X: a
4 g6 S( P3 u/ q+ F+ o9 {, uss_e:1 a- Q, K* Z  Q2 w

* z# X9 a! T+ P1 w, h$ A/ @or al,03h

1 ~  P+ V) P$ f2 [0 G. s: ]* x! S( v6 W
ss_done:
7 B. p3 p5 \5 G6 j1 V- \/ C% e
$ d1 A/ C% o. n; P+ _out 61h,al

0 [( Z- z  T9 O' T+ u. o, t
/ e) `) H' ^) V$ Q% Ypop ax( v/ _! v5 T7 p% k

8 f; j; H" ^# ?. }7 ?* x. N& [ret

9 i$ B! D7 \/ f7 C5 D; R, c/ H: R6 D& Q7 X, b
speak_set endp
. J( T( r+ V: ~: J4 B! t
0 q+ x7 g7 N$ `, Y7 K- q# A, D; z3 f

8 N2 v# s" O$ `4 [3 n, P5 Nb就需要设置8253计数器2的模式工作频率,操纵8253的步骤为先向43h port选择所要使用的计数器以及工作模式参数类型等,然后再向42h port装入t2的计数初始值,代码如下所示:
9 c5 L7 f" n0 Y& O: @;----------------------------------------------------------------------------
7 W. y0 s6 o, f
* p6 F9 a0 g. C  |$ U; F4 O) F
;t2_set% y' U3 I! g2 u2 Y
;enable t2 & set work mode & out 2 frequency " V' @+ r# w9 |# d+ g# d
;called with:di(frequency demanded)
  Y+ Q1 g0 [+ u( `;used registers:ax,dx3 p, b: w% g9 A$ c0 I- O: `- K* |
;-----------------------------------------------------------------------------
) w/ T3 P7 P, v3 c3 D5 e4 qt2_set proc near1 v* `; o7 t9 c- h

0 F  y2 h& I, B" B9 B" wpush dx
* j) o' u; v. T
1 t0 X, `; S: P& _% j; c4 e/ Xpush ax
, K, V5 D, M5 ?5 G5 {7 \

2 E( y5 ~7 W3 D$ Wmov al,0b6h ;t2 lsb,msb,mode 3,binary

& O' y1 D; X8 [( G* B* w
0 h2 }/ [$ J* V( p/ N7 q4 m5 qout 42h,al
, V( i* _7 N8 Z, m! U' e' j; v

+ F7 F  o2 L7 t* Tmov dx,12h

* J5 C$ d- d3 L$ x% _5 Y6 Y% Y
/ |( v( L& \1 l5 amov ax,348ch

, @8 l8 l, [' D8 t2 I. }6 V$ F: _4 b  z* T3 o
div di
. E- t5 K9 P. b* h1 w9 f

5 V* u/ v# M* c: j1 t; w) Nout 42h,al
0 E8 H9 e" V0 X3 V- {

6 y" Q% j1 h6 k8 Cmov al,ah

: P; N. z% S8 P3 C- W/ u
5 u% s, H' D' N$ b3 @out 42h,al
7 {# D: G  D- a

% {  U7 j" @! v! n5 \
pop ax
" B5 }; T) I" A! \7 I* J" d
3 b0 {6 t; I1 k) R# Ppop dx
. ]+ I+ B5 N5 ~8 M3 ?6 S* z

, K; }+ f7 m% [- ]0 H  N, [8 Tret

0 a  s$ x2 }8 Z# w$ G$ m2 Q* W+ F0 H" N
t2_set endp
  q+ G* F' G5 }5 \4 {& O/ H1 A, Y) F( z! U' O+ g
c可以通过执行loop达到延时的目的,可是loop延时和处理器的类型频率有关,不同种类的cpu执行同样指令所需的时钟周期不同,就算相同种类但是主频不同的cpu要达到同样的延时效果计数的基准也会不同。那么有没有精确延时的方法呢?书上给出的答案是通过检测61h portbit4 ram刷新检查位,每隔15usbit会发生一次变化,所以检测它可以获得比较精确的时间(我猜测这个bit会和8253 t1同步变化,因为t1的输出脉冲用作DRAM的刷新定时信号,而该信号要求15us刷新一次)。延时的代码如下所示:! [9 w  |1 X6 x& t
;----------------------------------------------------------------------------
9 `8 U2 c1 [9 x  i/ U# p
' e# F1 x! P: h7 b) s3 a$ F
;delay
7 J# X2 J1 g; W5 d0 a' K5 P;delay time base on 15us unit5 n/ Q" K; n0 H: W$ P* ^1 m( p
;called with:cx (counts of time unit)5 d; u! |& ~* y
;used registers:ax
3 x  h9 k8 t! \8 e;-----------------------------------------------------------------------------
# B' J' L3 G5 Wdelay proc near7 ^" G0 L" h' u) w; W0 i
9 `' p8 g1 R% `
push ax' I, j" r" C; M' s% O

3 x0 l8 }; p* i1 z' J* ndloop:
. D# A$ M8 d9 O* G* u
( V$ I& w: a% V2 Pin al,61h
0 k. }) X  t5 l$ b

4 R) O' X( G- q) b4 [+ Band al,10h
% Q& a  J4 w! z$ e& s9 X
  S, P2 i- n7 D, H$ i
cmp al,ah
9 G1 t- |: w* s0 a5 b

7 j& H& Q& q3 I- D1 kje dloop
; j% x$ g3 y6 z% F

' \0 q/ p8 }( L# h/ y3 |: V4 [8 zmov ah,al

+ v/ f) J' V, I+ z4 q! S' q2 L  d9 t
loop dloop

6 b& Q/ r/ h6 ]$ W) h1 M: T3 _
6 H) O) F9 i& Epop ax
' E& }$ z% i7 |1 o; d: ]

( U0 E% L+ V: B! Zret
/ K; r3 `. H5 J3 @0 p! {2 W2 P

: S9 o6 N2 \: D- n- A6 _- w& ^" Ldelay endp+ n& m* [' e* @; A2 n* D. E

% W2 V2 O) k& ]& }以上就是beep的主要代码了,最后开放完整的source code供有兴趣的朋友参考。9 Q# B& r+ _! J) Y; I: Y2 s
# y$ y' I4 i4 |' l* j) k
REFF:7 x1 q4 `% S4 {$ X, P& @

" q1 h# k& j' D. _; \' ~  b- K, l" Z
PC硬體元件控制詳解
6 G1 U# _5 }. K) c( E& j1 F; H
1 \0 e; l- A  LIBM-PC汇编语言程序设计》
! K. J) R& Y% W/ h2 ~7 U6 I3 `; o
: \5 c. X) r$ [. k. M2 ~( n6 ~0 F
( M0 m$ ]! h, O: {( q
; r7 p! ~' K% m9 r
Enjoy it7 i6 V6 M' |1 S

( p* ]. I. o$ X6 h. Y0 ]' S/ B& n. o% A" D, E- D
That’s all!6 K$ q7 K% k' r- w! Q4 D7 y( b

( Z1 {, Y) ]# Y& J. j9 nPeter : g% T5 `- o, e

# b! y) m+ C5 k5 m  J+ N- ^( M0 L[ 本帖最后由 peterhu 于 2009-7-6 16:47 编辑 ]

beep.rar

945 Bytes, 下载次数: 468

回复

使用道具 举报

 楼主| 发表于 2009-7-6 16:50:33 | 显示全部楼层
戏说BIOSPCI Scan
0 s1 X( }2 Z+ i' D( K9 q& k

* R) ?2 [' `& G/ }1 V1. Introduction
$ A3 ~' ]$ y6 ]3 T# u6 Y8 m; @
- U2 _4 \5 `7 k2 _$ APCIintel公司在1990年前后开发的,后续经过若干年的发展以及标准化,它已然成为server&pc上的标准总线。PCI以其出色的设计以及不错的通信速率在计算机领域攻城掠地,不断的取代诸如:
MCA,ISA,
  @( z+ ~# M8 m5 c% S: iEISA,VESA,NuBus等传统总线。PCI相对于传统总线有非常多的优点,如:1.它是数据总线和地址总线是分时复用的,这样减少了pin脚节省了空间,而且这样也可以方便实现突发式数据传输。2.它是即插即用的(plug & play),当device插入系统时,系统会自动对device进行资源分配并加载对应driver,而传统的ISA device则需要做复杂的手工配置。3.中断共享,传统的总线有一个致命的缺陷就是它们是中断独占的,本来系统的中断就非常紧缺所以增加新的device会出现中断不够使用的麻烦,而pci irq routing机制使得不同的device irq共用成为现实。可是技术的发展总是长江后浪推前浪,前浪死在沙滩上J! PCI又逐渐被更好的总线PCIE所取代渐渐退出PC的历史舞台,后续我会再去研究一下PCIE
' w0 P& O- Z8 O, M8 p1 z2 `! k; V- J# w0 J- x! E1 [4 }
2. PCI Arch7 C# }6 p8 N+ f- k& \$ c

8 W  u5 N2 `3 Y8 {2 ^+ O可能是软体背景的原因,因此我看PCI spec也会习惯性的使用软件设计的视角去理解PCI的设计(我觉得有关设计、架构的理论应该是相通的,正如软件中经典的design pattern的思想来源于建筑学一样)。我的视角里PCI同经典的接口编程或者插件式设计非常接近。接口本质上是一组规则的集合它是对同类事物行为上的表示,它的主要目标是实现相同类别的不同对象行为上的多态性。面向接口的编程是OO思想的精髓所在。它的好处体现在哪里呢?首先它增强了系统的灵活性,只要遵循接口定义的规则,系统的底层实现部分就可以灵活的替换、扩充如:PCI总线定出了设备的统一的硬件接口,这样遵循该接口pci device就可以方便的扩展入系统;另外相同的接口可以接入不同厂家的设备就像同样的sata接口可以接三星的光驱也可以接LG的。其次规则给出以后,实现该接口的部件就会有共通的接口但是不同的实现,如此系统端就可以通过接口灵活实现对部件的操作配置。PCI定义出了三种规格的配置空间,根据配置空间提供的信息系统端可以方便的识别设备的种类,功能甚至于厂商和版本号,获得非常丰富的系统端知识;而且该功能也使得设备可以动态的配置资源进而能够做到plug & play
+ L- p3 X2 o" U/ o. }3 r/ g% H4 W
9 m/ [6 V" u2 h7 H0 P8 B
3. PCI Scan
+ l& ?: I  P# _  y2 m7 \% E( ~$ t( D) A
7 ?/ O# Y. X% i  N9 N
PCI Configuration Space是大小为256字节的一块空间,它由headerdevice specific两个部分组成,其中header部分是固定的而device specific
部分则是与device相关的,不同的device会有不同的layout。配置空间被用于配置,初始化以及灾难性错误处理的功能。下图1type 00h Configuration Space Header
# q0 t- C! I8 w# P- t6 n: n% C
& Q# s- p; m% Q( o7 s+ [ pci1.JPG ; \/ |* F- j( b& L
* y/ t0 K% m  u
1

' ^% P+ Y. a1 x  ?" ^. aPCI Scan的重要任务就是读出该256bytes 配置空间,那么如何读取这部分的信息呢? 有下述两种方法:( h, p( v) o( C9 K) r7 H
1.使用0CF8-0CFB, 0CFC这两组IO port存取PCI Configuration Space总线号、设备号、功能号和寄存器号组合成一个双字送到配置地址端口(CF8H-CFBH),然后读写配置数据端口 (CFCH)即可获得配置空间的数据,下图2是配置地址寄存器的格式定义:
, D9 o- v% t( ]% W
- Q2 i. I) ?! X& w, {2 X$ Z pci2.JPG ( F2 p3 d. p$ t2 G) s, K: j. f
& B5 f4 ~- i  }  m% e  o
2
" v5 L' G4 E$ ]) e& |) v( C2 a2 T
所以我们先要build一个config-address然后再去透过端口存取配置空间。. C' o4 d, z( a' s. u
下述代码用于build config-address2 {; |4 C1 t- H! a1 \3 V3 x
;----------------------------------------------------------------------------* T3 r5 T2 \0 o5 m6 D8 s6 Z
;build _pci_cfg_add:
8 m0 O. |. o" Z2 v
;build pci config address
1 a, B" `0 |2 N  R5 h% k* }, a
;used registers:eax,ebx
- J5 R0 G7 {& w7 W+ j;-----------------------------------------------------------------------------2 }7 k" v6 t7 u" Q/ L, T& p
build_pci_cfg_add proc near
8 j1 D6 a  J1 i1 W3 u8 F5 t# g9 e5 O; P
push eax

4 A  J/ C3 h: s: D- }
* ]& R; V; ?6 {  D9 k* p  rpush ebx
9 ]- I' T8 {" W( ?

  R7 f$ v+ g8 P& w1 X& Z# Mxor eax,eax
' b% p) \, o( Q0 {
( s! w  y! J& H" h. fxor ebx,ebx
& A6 o5 F7 x* }
- e6 U# `1 }  d- G) x$ \
mov PCI_CFG_ADDRESS,80000000h

7 ^) c# V1 X0 K; s+ w: x  {- C: r; f/ T
mov al,PCI_BUS_NUM
4 y5 V* m* k3 H! i$ o$ R6 t$ s
, ?9 d; @, y7 W5 T) \* I
shl ax,08h

3 v/ H1 _; g. c+ x' \  W/ f2 G  U2 O
mov bl,PCI_DEV_NUM

- }  q0 g) n8 Y# F. \6 Z. h3 ]  z4 B0 z
shl bx,03h

+ h& Y/ B% R" `1 I) D
- J, e1 H8 \: n6 O& a: r: n) wor ax,bx

% p7 I( ?) w) N$ N- t6 b1 W2 e% s* o+ t' _. t% A
or al,PCI_FUN_NUM

# G- J2 O, {. O6 ]8 E2 W  b( C- c  }( y% U6 ]9 g1 l4 g

) P! h1 F" e- U6 B! N* }- Q6 Fshl eax,08h
, E( i, \0 L* E5 F
6 G- e: Y+ b. |$ {
or PCI_CFG_ADDRESS,eax
9 p% |% q, [& y  ^
* r1 ?% F4 J" r9 Z
pop ebx
+ I* _$ \+ z! V$ c0 a
1 K! {* @0 ~9 E2 M6 Qpop eax
  z0 k7 r: q. E: i9 a8 F! s

, Z! E& f! U$ V% {+ |1 ~8 Kret
; I/ |- F- W4 Z5 |  P  B
4 [: c/ e/ I( A; k5 ?5 |
build_pci_cfg_add endp
, j9 v$ ~: d' J0 y! t) P& `8 Y9 d
3 C# N1 |: H4 V, C8 C1 E2 ~

# v) a" q+ Z+ Gconfig-address准备好以后接下来就是透过IO port读取pci configuration space了,下述代码演示读取的过程:
) Y" }0 j4 z0 G1 ^;----------------------------------------------------------------------------9 K6 o( O) f$ @: ^4 J% z
;read_cfg_space
, [4 K- Q* M- W( R, |;read pci config space use io port( U  ^3 \! H# S) E7 w$ b
;Called with:NULL8 f$ c8 L, k6 R
;used registers:eax,edx! L" T! z9 e( a( ^! T3 ~6 i: _# B
;returned regs:eax
, x  \7 q" U& `: h8 m;-----------------------------------------------------------------------------
- j& O# K. G7 |, c& e; Mread_cfg_space proc near
2 N! v. {: c! [; |1 }( }) g
6 E2 Z' r8 z. ?: _; qmov eax,PCI_CFG_ADDRESS

. Q' D2 c: S1 |. x8 A
6 @- [) \0 k$ ^/ Y& T2 ror eax,edx

, i# g$ x9 r' }: b4 A; ]" O
; O0 h6 i1 _8 d2 xmov dx,PCI_CFG_APORT
) s( w* Z  n5 o( [% ~( d
  a. V0 m/ O/ W
out dx,eax

3 n2 E! `, d- f7 Q( C: C8 n, k$ z' r/ F8 e: P
mov dx,PCI_CFG_DPORT
5 [# w( E/ g7 R! x# ^5 p
1 F: D4 I4 u. G9 g  h+ z
in eax,dx
& N% @+ i8 A9 h- O% \0 r% r

8 v' _5 C( H: Lret
6 A) q) ~3 v8 w& x, ?3 F
# {- c. _/ @% A6 u1 j+ z4 b
read_cfg_space endp
5 \/ P. R  e; ]& `% E

6 I( M3 e! Z" \" M' [4 k
/ {* u" {- P4 o& D理论上PCI bus支持256条总线,每条总线支持32device,每个device又支持8function,所以我们组合出上面所有的可能就可以遍历出所有的PCI 设备了。可是实际上PC上面PCI 总线通常只有1条,最多也不会超过四条所以我们只扫4条总线就可以了,不用做太多的无用功。有了前面的准备,我们就来实现一个类似RU中的PCI scan吧,下图3就是我写的pciscan运行的状况了:6 C! ?4 f' B8 x6 w* R  d

) h/ L7 k) |8 k8 w2 b: N. P$ }       pci3.JPG                                        9 V6 v9 v- f8 ~* A; S7 i2 E5 ^& j# f
( M: V1 t  _$ J' i" ?3 Z( A) J* m( c
3
2 ^- d, Y( h, b- }/ {5 l3 W5 n' Q& A
在该界面下按下esc就会退出该程序;移动↑↓键就可以选中device,然后敲enter就会看到该deviceConfiguration space 如下图4所示3 v$ x/ h- h9 \7 u% u
pci4.JPG
' Q5 U. ]; J/ U& H8 x, g' g9 ]: B

( z$ ]( D; o' w6 s 4
6 w2 f) I8 g) i/ e
当前界面下如果想返回到上一个界面只需要按下F6就会回到图3的界面了。$ {( _/ I$ b3 M5 Z
- H) U6 n( N+ N+ q3 B
2. Call PCI BIOS int1A同样也可以获取PCI device的信息。其中AH=B1hAL=function id所有的function id如下所示:0 V- S* D: P# h1 W
01h: INSTALLATION CHECK2 Q0 a4 d/ w, r- F: G4 d8 I" m
02h: FIND PCI DEVICE
( L5 h! z0 \3 [7 ^- y" a: t; U03h: FIND PCI CLASS CODE
4 j" i. m2 G- J* Q3 l1 l06h: PCI BUS-SPECIFIC OPERATIONS
. r% z/ E  {4 p08h: READ CONFIGURATION BYTE
* V9 C0 Q/ P4 w4 y4 q; L- R09h: READ CONFIGURATION WORD
& h/ H$ T; ]+ ^  C2 J$ F$ m9 e4 o* Z0Ah: READ CONFIGURATION DWORD
7 b& \6 S# E1 J+ q) p0Bh: WRITE CONFIGURATION BYTE
( C" H$ T( T  B4 E" ], y0Ch: WRITE CONFIGURATION WORD) w& b3 G2 f" N4 Q3 y
0Dh: WRITE CONFIGURATION DWORD' v5 |8 i" I  t0 l& f
0Eh: GET IRQ ROUTING INFORMATION4 w9 _6 V7 P7 r/ `( M, o1 ~% n
0Fh: SET PCI IRQ
1 a2 A* g+ A+ e# ]/ v81h: INSTALLATION CHECK (32-bit): D1 M7 x. F$ Z9 f. G8 c
82h: FIND PCI DEVICE (32-bit)
" j; `) E3 C9 P  q" X* Q$ X: _83h: FIND PCI CLASS CODE (32-bit)
  s$ a$ |6 V8 X( R/ C: d86h: PCI BUS-SPECIFIC OPERATIONS (32-bit)
* o* Q& E3 T2 n! b6 i: m6 o88h: READ CONFIGURATION BYTE (32-bit)
+ [- r  e  W$ \+ B89h: READ CONFIGURATION WORD (32-bit)
3 F' n2 y9 s5 e: {8Ah: READ CONFIGURATION DWORD (32-bit)
: {1 \: `, W# f3 }# R8Bh: WRITE CONFIGURATION BYTE (32-bit)- H; s1 [; \! Z) t7 X( ~4 v# ]' I
8Ch: WRITE CONFIGURATION WORD (32-bit)
( H' Q- j4 i) a; D# l) N0 ?8Dh: WRITE CONFIGURATION DWORD (32-bit)1 v7 F- R/ Q& C1 }0 r9 t
8Eh: GET IRQ ROUTING INFORMATION (32-bit)0 N. Z2 l; H6 |9 j* n: Z7 _0 c) @
8Fh: SET PCI IRQ (32-bit)
( \6 g# K2 z1 ~; Y7 ~我们使用function id 09h就可以从configuration space 中读取出一个字,这样的操作明显简单的多了,只需call 一次int1a中断即可。下述c代码演示了读取Vendor id的过程,如需读取其它部分只要算出具体config-address即可。/ D+ H. j2 l- t

8 E0 ?1 W* V9 O#include <stdio.h>
; i0 f3 ~, V, G; ]#include <conio.h>
, n: Y, V; [4 X#include <dos.h>
+ w- v. b/ Y) I; G; f4 U, d5 F
# g% r4 ?4 A* }$ Y, N3 nint main(int argc,char** argv)  b+ g: I7 L5 K! q( K) @
{
8 k/ ^7 J/ ^8 _% z% u' s$ x% s* [& y2 y) B; U
     union REGS reg;
7 P8 ]3 O/ H3 F  Q3 Q% T
; W7 t" G' \6 D1 T" ]% _8 Q) Aargc = argc;
# \3 s3 g# v* I5 S9 A# T

0 \8 D! [9 S4 M  v/ Y% gargv = argv;

2 ~( o: L" M* r+ h5 q1 I, k. ?  P2 v" q5 }+ h4 K; M1 }
reg.x.ax = 0xB109;
6 |- f2 t+ H# h

6 X- H" C# ^! h5 breg.x.bx = 0x80000000;

, ^9 L4 [0 d* f# C/ b5 y, {& M: k8 c8 L4 e  p$ G: y/ C
- ?1 o1 u9 y, w( Q/ R
reg.x.di = 0;
0 M, ~2 b/ v$ M$ F. b( @
$ g# x( y$ [* `: u0 ]
; Y' c: c8 l) ?, Y7 S+ B
int86(0x1A, ®, ®);

  K6 D0 M! g5 a  G
+ K) g5 @- N( s, Z     if(reg.x.cx != 0xffff)
3 G% J- K2 G% z
6 g* }1 z4 V6 `3 t; A  r8 D5 k{
- \4 ^% L  g' W4 }* l( {4 f, G4 `
1 `& j5 \; S' b
printf(
"Vendor : %4.4X\n", reg.x.cx);
' r" Z8 Z2 Q  `9 L4 r: Q" g

) D# o  t1 x/ R}
7 O6 |6 {5 Y3 k8 s$ @

9 n3 C# g8 \3 @     return 0;$ R) z" _7 o  C3 r# q2 T
}
8 P% w( B  G, }, w$ P/ H9 y+ {1 t  ]
程序运行结果如下图5所示:
! H! j/ J: p7 L' g# s, D4 Q; H2 H9 d$ X! j0 |% B+ M
pci5.JPG % \$ N9 H! }1 @( M& c+ M
  i/ Z9 Y+ J* d
5

) c" D2 B) b$ O8 t8 e: m7 ^* z) q0 t% p+ _
最后依旧是开放完整的source code和可执行文件供有兴趣的朋友下载6 C# A4 A2 N8 F6 R$ X& Y# w
* n" p5 o8 ^3 S4 ^+ Y3 u1 h5 R
9 X0 g+ F0 u( k7 X, P
Enjoy it!
5 y8 ~3 C* e9 v: r* k
7 H% b# e8 s& C; S  y# a
! H1 N- U) }* T6 ~0 t% b0 a- {2 ^That’s all!
- h7 g& l/ i5 |, y0 U
: P8 i7 O0 N- ]9 L/ KPeter
3 z0 F* W& f1 [) n5 B/ Z5 P5 F% e3 E6 `
[ 本帖最后由 peterhu 于 2009-7-6 16:55 编辑 ]

PCISCAN.rar

4.69 KB, 下载次数: 551

回复

使用道具 举报

发表于 2009-7-7 11:28:28 | 显示全部楼层
厉害!!!!!!!!!!!!~~~~~~~~~~
回复

使用道具 举报

发表于 2009-7-7 13:35:14 | 显示全部楼层
Peter Open Source 的观念很强啊,想当初小弟刚开始接触BIOS的时候,要是碰上你这样的大虾,那该多好啊...
% i9 N2 D0 g$ v. b8 bUI 就不用画那么辛苦了
回复

使用道具 举报

发表于 2009-7-7 13:40:56 | 显示全部楼层
同感,同感!!
回复

使用道具 举报

发表于 2009-7-7 14:47:21 | 显示全部楼层
支持LZ     !
回复

使用道具 举报

发表于 2009-7-7 15:50:58 | 显示全部楼层
预祝Peter转业成功!
回复

使用道具 举报

 楼主| 发表于 2009-7-9 10:17:02 | 显示全部楼层

戏说BIOS之Clock Generator

戏说BIOSClock Generator

& l: n9 J* _+ C$ F3 m) E3 D
! t. G3 ~# f2 D" i1 H2 L
1. Introduction
/ {* o1 r9 o6 y! l. W: L# n& F9 A+ j- G8 V' v( n
Clock Generator是主板上面一颗极为重要的IC,说它极为重要一点都不为过,因为Clock generator负责提供主板上的clock, 一旦Clock Generator除了问题,板子基本上就完蛋了。Clock generator供给的clock部件有CPU clockPCI clockAGP clockPCIE clockSATA clockUSB clock等。
) O4 R$ U' l+ V, K' V& f

0 h3 m; o! R6 k; \. o! ?7 N
; ^2 l. G2 d0 S$ i% V* S; }- ]* |2. How to work?
% O9 L2 h' u0 E3 }, t- g# T) Q# L
Clock generator 是一颗IC,它有一颗外接晶振,内部会有锁相环放大调整电路,可以将外接的晶振产生的clock放大调整然后再分频输出到各个外围器件和总线,提供器件和总线工作所需的clockClock generator的工作原理如下图1所示:
" S$ E& N8 h  J1 D* k! X4 K4 |  Y

' V& l, _2 O# x# C# @1 P& | cg1.JPG
) V, a8 p7 X$ R" x, r+ B' tClock generator通常是一个smbus device,接在SBsmbus controller所以通过SBsmbus controller,使用标准的smbus protocol就可以存取Clock generator上的configure data从而达到配置各个外围器件Clock的目的。鉴于clock generator的重要性,所以BIOS在非常早的阶段就会配置它(boot block阶段),让CPUmemory等的工作有一个稳定的clock。下面我就以VIA平台为例演示Clock generator读取过程:
; ]1 y- F% B2 F4 Z# R
) C4 e4 J( `' r: G3 Z
  • 首先要通过PCI配置空间找到SB smbus controllerbase address
  • 查看Clock generator smbus slave addressICS9UM700 slave address42h
  • 透过SB smbus controller下达slave address & protocol完成clock generator数据的读写。

0 n3 e4 F. M+ r) R8 _下述code演示了获得smbus contoller base address的过程:4 n+ H6 U# E) W* G. I3 ^% \
;----------------------------------------------------------------------------6 t: E6 [( R: Z2 {8 W0 f
;get smbus base address
# \3 p; K- \" g1 G6 X/ U;used registers:eax,edx
" H! A' |6 Z) d( [$ x; l;called with:NULL- t7 z2 b/ b7 ?: a( S0 E
;-----------------------------------------------------------------------------" m) E3 {1 T: Q, R0 N
get_smbus_bar proc near
. R; H% u6 ]& _4 S+ @+ L
% {) ~  x( B7 R6 ]% V1 Npush edx

% O3 T/ ^) w4 ?. @% F, O% y1 Y' e0 H4 Y4 ?* x, c
push eax

/ p, A' `# L8 Z9 ~
. B, O# }* }) Y( m; G  R8 [/ `mov dx,PCI_CFG_ADD

" Z- K% s7 [( ~7 W
3 ?/ I5 f# p& f' Amov eax,PCI_SMBUS_ADD

  Y: I+ E  Q( d, h3 ?) G
$ l& x  G  ]6 u  k5 F* ]4 p6 Pout dx,eax

. Y. J: x' \  v6 h( i6 |% e: [7 L3 q! n( R5 {$ k
mov dx,PCI_CFG_DAT
" a: S$ f2 s' W3 \( V9 b! J- V, E. m

- g. T$ z1 V8 a6 G& ]# F0 k, ]4 Oin eax,dx
* n, w5 o) j3 q1 l0 I. i: L& J
7 B2 S% E4 y# B* N7 C. ?5 j2 N
and eax,0FFFEh

* Q! \# W9 C5 L/ W* c1 N) I
" p* z, `1 C. v( r' Jmov SMBUS_REG_BAR,ax6 B7 P5 I8 G5 I  M" O1 ~" C

" N4 e; P" J0 s& D) o- npop eax
2 [! B0 ?  ~$ b- r

9 k3 R3 c, P* \% g+ p' wpop edx
/ D& J. _9 t2 ?! |% b% x+ t6 D$ B

. I. \2 G* Y4 d. o5 Lret

$ i# q9 M6 A" i+ }# f9 uget_smbus_bar endp1 _/ q1 U' [: e
* T0 P9 |* I7 \6 E
下面的code演示使用read block protocol读取clock generator configure
+ G* O9 U0 X- |data的过程:
! F/ r1 K8 ?6 B4 a% u! s;----------------------------------------------------------------------------9 O: V6 S* ?( z( N. P& W! k
;read via clock gen data by read block protocol" Q! |" R& Q1 f( Y
;called with:NULL
$ f0 q/ i: s  D% p+ ~;used registers: ax,dx,bx,cx
& z8 }  `, Q1 Y+ X' J" c, I' W7 Y
$ R( t5 d& p$ f$ Q+ N: J
;-----------------------------------------------------------------------------
& B5 c) r) @" w  Eread_via_smbus_block proc near
' X7 g: G; b4 h4 y) _% j; [# {9 C. B9 M3 m6 k2 u* ?7 W" k. h
push dx

) f& }% Y3 }6 p! e/ v" j6 G
& J0 B. P: K) x7 ~: u$ Z6 cpush cx

# z$ j: _4 f5 K5 U( ?
7 `; k( j) Q# H, c2 Hpush bx
" h4 r8 t  f' T! x, Z( J
+ z0 ?7 Y6 p, H4 f, o1 I
push ax

, b2 }# x$ }! |/ C0 v. {  T" i: Y. s
8 \$ I1 o$ x$ l
: \: u: a; V1 j9 m, v* q: ]call get_smbus_bar
9 v8 q. S0 S% H5 h$ ]  I# R' j3 c

+ \) Q  y3 V6 R4 K3 \;reset host status registers
6 e. W# V3 n: q3 ~
* @: C/ Q) z) {
mov dx,SMBUS_REG_BAR
, a# j- |: k, M

, }; I9 B, k# aor dl,SMBUS_HSTS_REG;(00h)
; H7 s' J# T" I7 o1 q( l2 d3 O9 R. {

( e0 S+ O9 V9 n3 r& Xmov al,05eh

. O& E1 @: @& b1 t( v5 r! R- B' l
6 C' a5 t; s* V- l5 m" O, Q, s/ iout dx,al
. z+ `" r* W" \
8 U, R) A& l; a' K

7 r/ R. z, D* ?% J  D" E1 \;set smbus slave address
% F5 e: B/ i2 t- a' l$ l

' Z+ u" [1 V+ x# B! P. vmov dx,SMBUS_REG_BAR
) a  i# L) p1 f* X: ^9 f8 i
8 T. n4 e* `/ ~
or dl,SMBUS_HADD_REG
3 w; d( c: v+ `

2 W2 s; b' A4 \" W; B3 B, P. k8 E3 w0 gmov al,SLAVE_ADDRESS

# `% S% b5 b$ x! _1 l/ K1 v8 n
6 Z' t/ i! }# g4 e2 w% \- dor al,01h
- Q5 l: C; J! J1 F" Q$ }

1 f# x+ u  s6 d# B- }4 t) j* Yout dx,al
' N( u* z# |3 `) a7 s5 m

1 ^; \; s# w& T" Q9 Vcall io_delay
' c6 ^* c: n! l- D! f

. z( c' O9 @9 ^+ ~  h9 i3 z% X0 v, }$ F* v; u: B
;clear smbus status
% ~0 a4 K8 Z7 u. B- ^9 I
3 E  E9 L" j. h% @
mov dx,SMBUS_REG_BAR
$ X+ ^! K7 Q, s% A- T. s3 d

7 c1 O4 C  I+ v2 nor dl,SMBUS_HSTS_REG;(00h)

2 c( G" s' I) [; ^: S- p% x
+ d9 u+ |8 S# C) cmov al,05eh

: c% g. Y0 M3 C/ J5 j
3 o; G( B! l( r9 u5 X* wout dx,al
; h. D$ T' W! h8 o+ l3 k! d2 x, Y

' I* E6 s' C/ M6 J& C& Icall io_delay
$ @2 f! d' ?$ i' [; a2 D
* f3 H5 n3 ]& E
( Z- P9 Z0 _( \( y
;clear smbus command byte
/ n. K" @8 o7 Q# ]3 r- T
) c: o0 n$ o" J5 g4 n( E# Z% u9 [" S
mov dx,SMBUS_REG_BAR
0 A9 D; `$ h) R7 E! A" d

2 _) A; k7 q. M6 W" @6 v: eor dl,SMBUS_HCMD_REG;(03h)

* W$ r. H0 `) r- K# d
5 z3 n& P8 u! f0 I; H) x# xmov al,00h
1 I; e/ U) Z, e$ i# \7 ], ~$ H
! r) ^5 W$ l% C" D0 G$ q
out dx,al
8 J: ~( ]$ @. D# r9 K

4 j4 X. C4 C; m. V# Q% l' \# J: L
) t  v4 g& {7 i' @2 B3 a4 K;block read protocol

  S8 [& }2 K" `& j" K
  V! ]7 d& _  @: T0 o: I: s. Zmov dx,SMBUS_REG_BAR
7 O( R  v: L; E2 X8 {5 v

2 Q. l. S- S' v7 u3 mor dl,SMBUS_HCTL_REG;(02h)

- f) i7 v+ t/ ^# I8 F4 B  L: I4 ]) o3 X( e8 f( `
mov al,54h
0 W  @* X4 }6 X9 K* ^* p' U

; C' ^  A: U0 o& P/ q; r! H$ E/ tout dx,al

" j+ g: r8 W$ l3 @5 i1 x  {- m4 |/ ]; |) A2 T9 Q
call io_delay
% E' Y1 \$ x' V' U
0 _9 M2 `) N: Q2 K' J7 G+ D

" e; n$ z& s( H/ a;wait for smbus finished

9 {: V0 c" G4 x; g9 I1 i' O
! l# L3 F) X& S/ C$ dmov dx,SMBUS_REG_BAR

5 D$ u  |. D; J$ i$ v! W$ V
& c; _$ k  A1 }) ^9 z5 m' _3 u- u4 xor dl,SMBUS_HSTS_REG;(00h)
2 G" J+ f* }7 R: ^% z1 |: Z) O: k

( X9 H; t3 J" ~3 Drvsb_wait_smbus_fi:
0 |5 Z/ U$ b5 h  b+ Z% v) V8 S$ b
. Z# S5 C3 u: Hin al, dx

0 F( ]$ I% M# C% E4 b' V
/ g) v+ o: d& A" X* D6 s, Wcall io_delay

# J' n5 q& H( \
. _+ b9 T" N+ p% g1 d# d& X' _+ `% htest al, 01h
8 F. P; [7 O8 w; E

2 R) z5 U3 O5 `7 N& v, gjnz rvsb_wait_smbus_fi
) G3 v+ E" r7 e' w7 F4 {3 Q
4 {3 h! k- t# S* m: J& z
# G. X9 ?+ C  p* v' s
;read data count

% Q  s( E- U4 p) e" P! ?: `
3 n0 v$ V$ Q! e9 y0 w2 Y0 @5 B2 kmov dx,SMBUS_REG_BAR

; @8 [) E- Z: D# {; Y
0 u& Z& ~- w3 `# V$ s+ d. For dl,SMBUS_HDA0_REG
0 e: }& E; P% e

7 @6 `0 Y7 c5 i( tin al,dx
& [; Y- z& o) j" z: W$ X

" \4 {' p; A5 l0 j;read data from smbus block data
3 J, \5 ^* G8 X1 o( M

5 H$ o- [& B& l/ W1 `mov cl,14h
$ s5 j( m, a# e8 ]3 W8 z5 d. E! ^
7 `$ v9 T2 B( ^7 K2 ]; s
mov bx,offset REGSTR

% K: }) u- d4 E2 [rvsb_read_data:' c+ e  d, c$ q3 ^7 v" r

9 z' F; i+ r2 amov dx,SMBUS_REG_BAR
; U$ x: }1 _5 q, W! e; C
1 r9 ?8 c  }6 X6 ?1 f2 V
or dl,SMBUS_BLKDA_REG
" a* V8 H5 r+ n" \4 E- w

$ H; I4 |/ B( _  }) ~9 {in al,dx
- a  r# J& `& K2 s" d& c2 {$ e# w
; K% n) o1 X8 H) d4 K- A" B2 r# w
call hex2asc
; |  F6 w$ y2 O' i; P5 k  U6 y

- n# d* m  [# s+ cdec cl
4 c! T; G8 d6 N. Y2 I2 d: k' B" W
4 a# _3 M; y4 ^
inc bx

" W( r" Q+ _1 V" h7 h: b3 \6 ^& M) a9 C- y( s* C( n
inc bx4 I/ ^6 w* [3 R% u, v/ r
1 `0 S2 O0 E! h& k* h& o
cmp cl,01h
& {& n7 Q( L5 P, Y; z
6 u1 g- K+ b9 Z. h: Wjae rvsb_read_data7 P0 F' n1 v; P: z! A, ]' z2 e

  X7 a" K! ^& s" ]* r# Y
pop ax
. R3 r, q& ?+ `" D# s$ C4 Y0 J1 g- ^

7 I0 `+ s3 s" J. {) y# Z, Gpop bx
/ }+ N9 L7 y) e

# \$ _5 B+ ^8 {% epop cx
5 A! ^: n+ X) w
  u* i1 h) v" P- q  S* _# j
pop dx
5 {) `$ S* \% E7 n# t% n5 M4 `9 U. N0 {6 ?) Y4 r6 A( i
ret
2 F8 g. C% h" p8 `  r$ D# |read_via_smbus_block endp
: S+ M, o# Z- ~& ?0 r: x
- t9 O1 W6 U4 ~, A8 c下图2演示ClockGen.exe运行时的状况:0 g5 q- L# k! k* O5 c

3 Y9 q$ m- E* P( m3 j cg2.JPG
6 k. M  ?% @/ T8 g              图2
$ Q  k2 M- E' r; }
ClockGen.asm只演示了VIA platforma + ICS9UM700dump过程,至于其它的平台,有兴趣的朋友可以如法炮制。那么这只tool可不可以做成通用的呢?当然可以,只要从PCI configure space读出Vendor id识别出平台然后再根据具体的平台spec去获取smbus controller bar(可能要多看几份specJ)然后就可以操作smbus了,clock generator slave address可以让用户输入(我看到的几个都是42h,但是也会有特例)。我比较懒,有兴趣的朋友可以试着完善它。最后依旧是开放完整的source code和可执行文件供有兴趣的朋友下载。
4 y9 V- m& J& A# K
% ]$ u5 S8 p) V% T
. L, o% M8 M- t: {  \* y
Enjoy it2 G6 `; a$ i, u' a( |
) p. [! h6 c8 m" b8 A3 [

2 Q  F2 X# w5 J* g" L& lThat’s all!# I4 A! p. Z! T- e! e
5 i, {5 P4 n, k( ~  I8 O1 p
Peter
+ G+ J  n* w: J8 V9 K' H/ N) h6 n/ E8 l2 M
[ 本帖最后由 peterhu 于 2009-7-9 10:26 编辑 ]

ClockGen.rar

4.1 KB, 下载次数: 521

回复

使用道具 举报

发表于 2009-7-10 15:03:12 | 显示全部楼层
向Peter致敬!!!!!
回复

使用道具 举报

发表于 2009-7-10 19:36:38 | 显示全部楼层
Peter兄,这怎么能叫不务正业呀,我也是BIOS和EC都玩的。
回复

使用道具 举报

 楼主| 发表于 2009-7-10 21:21:19 | 显示全部楼层
Alexander 你功力深厚啊!! p8 m4 e* K4 [% Z0 K
呵呵...向您学习.
2 V8 _* ]/ H7 }8 J- z( y$ w2 c
, ^0 L  X+ q! R2 M2 R. u4 A4 @[ 本帖最后由 peterhu 于 2009-7-10 21:22 编辑 ]
回复

使用道具 举报

发表于 2009-7-13 14:40:45 | 显示全部楼层
好强大的帖子 我正处在入门阶段 能看到这么细致深入的教程感觉真是很激动 拜读中
回复

使用道具 举报

发表于 2009-7-16 13:14:42 | 显示全部楼层
peter,可嘉可贺!
回复

使用道具 举报

发表于 2009-7-20 17:08:06 | 显示全部楼层
俺也搞了个类似RU的东东,献丑了。

Hardware Editor

Hardware Editor

HE.zip

32.81 KB, 下载次数: 485

Hardware Editor

回复

使用道具 举报

发表于 2009-7-31 23:17:14 | 显示全部楼层
Faintsnow好样的~, O0 r% o. w' ^1 H9 E; B  ~
这个Tool实在是太棒了, 很好用哦~  ]  [8 V  a- a" a
我顶~~~~~~~
回复

使用道具 举报

发表于 2009-9-4 23:24:56 | 显示全部楼层
顶楼主。
4 v2 q4 C/ v8 K7 F6 G拜读啦,O(∩_∩)O~
回复

使用道具 举报

发表于 2009-9-11 00:12:07 | 显示全部楼层
还真没有几个能够“戏说”bios的啊。 你算是个高手了。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 加入计匠网

本版积分规则

Archiver|手机版|小黑屋|计匠网

GMT+8, 2024-5-20 14:52 , Processed in 0.054106 second(s), 20 queries .

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表